电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>减少Xilinx Ise与Modelsim联合仿真的错误方法

减少Xilinx Ise与Modelsim联合仿真的错误方法

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Xilinx ISE Design Suite 14.2 安装图解

电子发烧友网核心提示 :Xilinx ISE 14.2安装指南,包括Xilinx ISE 14.2软件下载、Xilinx ISE 14.2软件安装、Xilinx ISE 14.2相关产品介绍、Xilinx ISE软件激活、Xilinx ISE14.2软件启动和Xilinx ISE 14.2新建工
2012-10-31 11:59:1361264

怎样单独使用modelsim仿真xilinx呢?

直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsim,vivado仅用于生成IP核。
2023-12-04 18:26:34477

介绍一种通过SystemC做RTL/C/C++联合仿真的方法

当FPGA开发者需要做RTL和C/C++联合仿真的时候,一些常用的方法包括使用MicroBlaze软核,或者使用QEMU仿真ZYNQ的PS部分。
2023-12-13 10:11:50324

ISE,modelsim和synplify是什么关系?

FPG上?------没有它,照样可以烧到FPGA上。ISE:其实ISE里面就已经自带有综合,仿真的工具,你可以点击RUN BIT,就能生成BIT文件,这样就能下载到FPGA了。modelsim:用来做
2016-03-15 13:40:51

ISE联合Modelsim进行仿真

ISE联合Modelsim进行仿真,里面介绍得非常详细
2018-01-12 15:01:09

ISEModelsim联调

选择了一部分器件,但是在调用modelsim仿真的时候,还是会报错,之后重新将所有器件选择之后就没有错误。5.接下来全部选择为默认类型,不要轻易改动,除非自己水平很高,对初学者不建议改动,点击生成,整个过程根据电脑配置而言,一般需要奖金一个小时。
2015-01-27 10:21:14

ISEModelsim联合仿真_修订版

ISEModelsim联合仿真_修订版
2014-01-26 22:44:31

ISEmodelsim联合仿真的问题

ISEmodelsim联合仿真,每次在ISE修改程序后必须把原来打开的modelsim关闭了再重新打开吗?
2017-02-21 20:40:27

ISE是如何调用ModelSim进行仿真

的波形--->运行仿真。如果仿真结果不理想,还得需要重新修改代码,重复上述的操作。计算机擅长做重复的事情,为什么不让计算机代劳呢?我们可以参照Xilinx ISE是如何调用ModelSim进行仿真的
2019-06-03 09:11:11

Modelsim 仿真出错 “Module 'IBUFG' is not defined”

' is not defined”错误,不知道到底是什么问题?使用的 Modelsim 版本是:Modelsim SE 10.2Xilinx 仿真库已经编译过了,而且已经加载到了 Modelsim 中。还请大侠们多多指教,谢谢!
2015-01-26 23:17:51

Modelsim 仿真问题 “unisim” Does not exist

在使用 ISE 软件进行仿真仿真工具是 Modelsim,提示错误错误详情如下: > unisim (ERROR: Library path "d:/Xilinx/14.3
2015-01-19 14:12:08

Modelsim SE仿真ISE下定制的ROM

这次利用Xilinx公司的芯片做FPGA开发的时候用到了ROM,肯定要对ROM做仿真,经过了一天的努力,总算可以做仿真了,现在把过程写出来,供大家参考一下。1.首先需要编译XINLINX的库文件
2012-02-29 10:44:56

Xilinx ISE 12.2 调用 Modelsim 进行行为仿真详解

Xilinx ISE 12.2调用 Modelsim 进行行为仿真详解最近闲来无事,整点东西,以飨各位。第一步:新建工程: File->New Project 创建工程 cnt_for_sim
2012-02-29 10:17:25

Xilinx ISE 12.2 调用Modelsim进行行为仿真详解

本帖最后由 eehome 于 2013-1-5 09:54 编辑 Xilinx ISE 12.2 调用Modelsim进行行为仿真详解
2012-03-05 16:05:08

Xilinx FPGA 仿真环境设置(ISE + Modelsim + Debussy)

目的:使用ISE调用modelsim进行仿真,并使用debussy查看仿真波形准备:安装ISEModelsim和Debussy软件将C:\modeltech_6.5a\modelsim.ini设置
2012-03-08 15:27:01

Xilinx FPGA入门连载10:Modelsim仿真验证

`Xilinx FPGA入门连载10:Modelsim仿真验证特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1 ISE基本设置好,既然
2015-09-25 12:39:34

Xilinx FPGA入门连载5:ISEModelsim联合仿真之库编译

`Xilinx FPGA入门连载5:ISEModelsim联合仿真之库编译特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm1 操作系统
2015-09-14 12:37:44

Xilinx FPGA入门连载60:FPGA 片内ROM FIFO RAM联合实例之功能仿真

`Xilinx FPGA入门连载60:FPGA 片内ROM FIFO RAM联合实例之功能仿真特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s
2016-03-18 09:20:25

Xilinx FPGA入门连载6:ISEModelsim联合仿真之关联设置

`Xilinx FPGA入门连载6:ISEModelsim联合仿真之关联设置特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1 ISE
2015-09-16 13:06:48

Xilinx仿真DDR3

modelsim仿真DDR3时,出现下面错误。Instantiation of 'B_MCB' failed. The design unit was not found.并没有用ISE联合仿真
2016-01-21 10:12:40

ise12.2 与 什么版本的 modelsim 关联?

如题,如果想用modelsim 仿真ise12.2的工程,应该用什么版本的modelsim? 求指教!!
2013-08-26 19:04:37

ise联合modelsim仿真时出错

ise调用modelsim时出现
2017-01-01 10:29:46

isemodelsim联合仿真每次修改都要重新启动modelsim

刚开始用modelsim,在isemodelsim联合仿真中,一般都是从ise中启动modelsim的,但是如果仿真文件有错,或者要修改仿真文件,难道都要先关掉modelsim,然后再在ise中打开modelsim,不能不关闭modelsim直接进行修改仿真文件,然后直接进行仿真
2015-11-12 10:11:44

isemodelsim关联的时候,出现这个错误怎么解决

求解isemodelsim关联的时候,出现这个错误怎么解决
2015-04-12 11:25:01

ise的除法器modelsim仿不了?

`大虾们,小女子最近调程序的时候用到了ise ip core的除法器,但是调用modelsim仿真的时候发现木有进行除法啊,单独写了个除法器也还是用不了,这是什么情况呢?(vhdl写的程序哈)`
2013-06-15 11:52:45

modelsim 加载xilinx库问题

目录\Xilinx10.1\ISE\bin\nt;图2.6、在ModelSim命令窗口(ModelSim下方Transcript)中输入:(根据自己需要选择需求)compxlib -s mti_se
2012-05-15 19:02:08

modelsim10破解过程遇到难题

:\modeltech_10.1c\Xilinx_lib 开始编译XILINX仿真库,等待完成,如果0 error则正确,D:\..为你安装MODELSIM的目录,改为自己的目录
2017-04-16 20:36:41

modelsim仿真

请问一下在ISE14.6中编译完modelsim10.0a,在用modelsim进行仿真时会闪退是怎么回事,有什么解决办法?期待热心的你给以指教!
2016-05-19 21:10:18

modelsim联合仿真不成功

如题,每次按照原子的步骤联合仿真,但是每次仿真都不成功。按照独立仿真的步骤做事可以的,联合就不行
2019-05-16 06:35:29

modelsim和simulink联合仿真问题

我在做联合仿真的时候modelsimn里面总是出不来输出变量,有输入变量和时钟信号,但就是没有SOUT,simulink报错总是。 VERILOG程序很简单,应该没有问题,程序如下: module
2012-09-24 13:44:05

Altera和Xilinx Modelsim仿真

Altera和Xilinx Modelsim仿真库Altera和Xilinx Modelsim仿真库 我们通常使用modelsim软件作为仿真工具,不同阶段的仿真使用不同的库文件,在开始仿真前将库
2012-08-10 18:31:02

FIR在单独用modelsim仿真

quartus和modelsim联合仿真容易出现问题,所以一般单独用modelsim仿真,附件是modelsim仿真的步骤
2017-02-17 20:21:18

FPGA 中的Block Diagram能直接联合Modelsim仿真吗?

FPGA 中的Block Diagram能直接联合Modelsim仿真吗?如果不使用Block Diagram,是能够正常联合Modelsim仿真的,但是使用了Block Diagram模式后,配置一样,但是总是报错
2016-10-08 17:12:26

FPGA基础篇(三):modelsim仿真的几个常见问题

FPGA基础篇(三):modelsim仿真的几个常见问题对于FPGA开发的流程无外乎就三步,第一编写程序,第二仿真,第三仿真结束之后下载到板子。其中仿真是必须经历的过程,对于altera的芯片来说
2017-04-15 21:46:29

MES50HP——PDS与Modelsim联合仿真教程

,目前支持ModelSim和QuestaSim,本教程选择ModelSim; 【Language】:仿真库用的语言; 【Library】:选择 usim 则是 GTP 前仿库,vsim 则是 VOP 后
2023-06-26 10:45:30

Matlab2011b-simulink联合Xilinx 14.2硬件联合仿真问题

硬件联合仿真的错误,在没有添加硬件联合仿真之前,可以正常软件仿真,一旦硬件联合就出错!--------------------------------- Version Log
2015-04-26 15:37:44

Quartus 13.0和Modelsim SE 10.1a 联合仿真

本帖最后由 蓝e 于 2014-11-25 15:35 编辑 Quartus 13.0和Modelsim SE 10.1a 联合仿真 1、首先在Quartus建立工程,编写HDL文件,进行编译
2014-02-28 16:49:16

Quartus II 与 ModelSim 联合仿真——Error处理

今天尝试联合仿真,期间发现两个问题,先坐下记录。1、ERROR:cannot launch the modelsim-altera software because you did
2017-04-24 19:08:20

Windows 10 Xilinx ISE 13.4错误

你好,我的Xilinx ISE 13.4(Webpack)有问题,最近我将我的电脑从Windows 7专业版升级到Windows 10,当我试图打开ISE 13.4时,它给了我一个错误,程序没有了。错误是_pn.exe已停止工作。请给我一只手..紧急!!
2020-04-17 09:57:19

quartus + modelsim 联合仿真问题

quartus+modelsim联合仿真的时候,但有的.v源文件进行仿真前,需要把它设置为顶层模块(源文件并没有语法错误),然后将工程分析和综合后,点击RTL simulation,就有结果了?
2016-08-07 13:39:10

quartus ii 与modelsim-altera联合仿真

quartus ii 的原理图文件如何与modelsim-altera联合仿真
2013-04-14 21:51:13

quartus联合modelsim仿真出现错误

Path name 'C:/Users/Administrator/Desktop/cpld test/test initial/simulation/modelsim/nofile' doesn't exist.这应该不是语法上的错误,请问各位大神,是哪儿设置错了吗
2017-04-17 19:05:43

quartusii和modelsim联合仿真时,出现如下故障怎么办?

quartusii和modelsim联合仿真时,出现如下故障怎么办?????急急急
2018-11-23 16:04:32

quartus与Modelsim 联合仿真自动退出

本帖最后由 srxh 于 2015-12-7 23:28 编辑 各位大神,求指点迷津!本人有个问题想请教下,quartus II 11与Modelsim6.6d联合仿真时,每次一点仿真进入
2015-12-06 23:52:25

rom 的modelsim 仿真 问题 和 解决方法

.mif 和 .hex。.mif文件是用来给Quautus综合网表用的,而.hex可以被用来作为modelsim仿真的数据输入。换句话说modelisim对.mif文件不支持,而只对.hex文件支持
2014-03-06 16:22:21

【MiniStar FPGA开发板】配套视频教程——Gowin与Modelsim联合仿真

本视频是MiniStar FPGA开发板的配套视频课程,本章节课程通过实例讲解Gowin与Modelsim联合仿真的具体步骤。由于Gowin的IP core和原语仅在gowin开发平台中使用,第三方
2021-04-22 17:38:22

关于ISE14.7联合modelsim仿真出现的问题

`小弟最近在使用ISE14.7和modelsim联合仿真的时候出现了一些问题,百度很久也不能解决,特来论坛求助各位大哥!!!!真心求助!!!!具体问题就是在启动仿真的时候ISE14.7会出现一个警告
2017-09-14 23:07:53

关于Modelsim仿真ise中fatalerror

ise没啥问题,,,用modelsim仿真就这样了,哪个哥哥能交下感谢
2018-11-27 11:36:26

关于modelsim仿真的问题

在quartus 中编好程序,生成仿真需要的 .vho 和 .sdf 文件在用modelsim仿真的时候出现如下错误,# ** Error: (vsim-SDF-3250) C:/Users
2016-04-05 13:28:34

利用ModelSim SE6.0C实现时序仿真

时就能看到像在功能仿真的工程文件层次结构,可以找到定义的内部信号。因为在做后仿时,源文件中的信号名称已经没有了,被映射为软件综合后自己生成的信号名,观察起来很不方便。这个设置与ISE里综合右键属性
2012-03-01 11:46:29

MODELSIM仿真XILINX工程

本帖最后由 lee_st 于 2017-10-31 09:24 编辑 在MODELSIM仿真XILINX工程
2017-10-30 17:06:29

MODELSIM仿真XILINX工程

MODELSIM仿真XILINX工程
2018-01-24 17:25:05

ModelSim中添加xilinx仿真

ModelSim中添加xilinx仿真库的具体步骤
2018-09-12 14:58:15

如何使用Xilinx ISE和EDK 11.4来实现我的设计

,如果我在修改一个位后重新生成其中一个外设,则会给出定时错误。如果我尝试路由几次,EDK将能够正确路由它。因为,EDK需要大约2小时才能完成整个设计,这个尝试和错误方法对我来说不起作用。我开始知道
2020-06-02 06:56:30

如何在ISE环境中使用ModelSim仿真

如何在ISE环境中使用ModelSim仿真
2012-08-20 18:45:23

安富利GSM给您说说ModelSim仿真XILINX库添加

本帖最后由 diangongshi 于 2012-2-24 21:42 编辑 盖住了版本号,为的是告诉大家,这个各个版本通用。在使用ModelSim软件对Xilinx ISE进行后仿真时,需要
2012-02-24 21:40:17

新人求助关于modelsim仿真的问题

最近几天在学习用quartus调用modelsim进行仿真,可是仿真的时候一直出现这种情况,一直处于loading的状态。求帮助。另外我的版本是quartus9,modelsim10.1c64位。重装也没用。
2014-10-26 16:12:08

有Quartus 13.0和Modelsim SE 10.1a 联合仿真的详细说明资料吗?

请问谁有有Quartus 13.0和Modelsim SE 10.1a 联合仿真的详细说明资料吗?希望回复?谢谢
2014-11-25 10:18:00

求助:如何提高modelsim仿真速度?

利用ise编写的fpga工程,采用modelsim进行仿真,如何提高仿真的速度?这里提高速度指的不是优化程序,而是采用提高电脑硬件配置,或者采用硬件加速,或者软硬件联合仿真的方法进行加速。请高手具体
2016-04-16 20:32:36

ISE关联Modelsim关联仿真后,对源文件修改怎样快速仿真

现在做仿真,每次在ISE上编辑代码然后直接launch关联的Modelsim进行仿真,稍微做一点修改保存后就需要关掉Modelsim,再从新从ISE上重新luanch仿真。这样又重新得填写信号,改
2017-08-28 21:06:27

请问modelsim怎么编译ISE的IP核

没用过ISE,不知道生成的IP核文件夹中 ,哪些是需要添加到modelsim中用于仿真的文件 。拿ram举例,仿真库文件还应该添加哪些内容。希望大神们指导下 多谢
2018-12-18 17:58:32

ModelSim,synplify,ISE仿真流程

我把我用到的软件说明一下。如果你发现根据我的操作,你还是解决不了ModelSim仿真的问题,那就可能是软件版本的问题。
2009-07-22 15:46:270

FPGA CPLD设计工具——Xilinx ISE使用

FPGACPLD设计工具——Xilinx ISE使用详解的主要内容:第1章 ISE系统简介第2章 工程管理器与设计输入工具第3章 ModelSim仿真工具第4章 ISE中集成的综合工具第5章 约束第6章
2009-07-24 16:06:58197

基于ISEmodelsim的后仿真方法

我想很多人跟我一样,被ModelSim的后仿真搞的头晕脑胀。为了这个问题,我在网上找了很多的资料,但发现这些资料往往说的不明白。一些步骤被作者有意无意地省略掉,这常常给读
2010-03-31 09:46:36112

xilinx ISE设计套装10.1下载

xilinx ISE设计套装10.1下载
2010-04-17 16:15:181915

Xilinx FPGA开发环境的配置

一、配置Modelsim ISEXilinx仿真库 1、编译仿真库: A、先将Modelsim安装目录C=Modeltech_6.2b下面的modelsim.ini改成存档格式(取消只读模式); B、在DOS环境中,进入Xilinx的根目录,然后依次进入
2011-03-30 10:19:07307

基于移位相加运算的乘法器设计

1、熟悉XilinxISE 软件的设计流程; 2、并使用移位相加运算设计一个4*4位的乘法器; 3、掌握ISE 仿真器或Modelsim仿真软件的使用方法; 4、用ISE 仿真器或Modelsim仿真软件对设计进行仿真
2011-05-20 15:32:4579

XILINX FPGA CPLD设计_ISE快速入门

本教程主要是向ISE的初学者描述和演示, 在XILINXISE集成软件环境中如何用VHDL和原理图的方式进行设计输入如何用 ModelSim 仿真工具对设计进行功能仿真和时序仿真如何实现设计.
2011-11-01 14:44:070

Altera ModelSim 6.5仿真入门教程

Altera ModelSim 6.5仿真入门教程,需要的可自行下载。 平台 软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 1 设计流程 使用ModelSim仿真的基本流程为: 图1.1 使用 ModelSim仿真的基本
2012-08-15 15:40:24255

MODELSIM仿真(适合xilinx ISE)

基于Xilinx ISEmodelsim仿真教程
2015-11-30 15:52:568

Xilinx_ISE软件简单教程

xilinx_ise9.01中文教程 xilinx_ise9.01中文教程
2016-02-18 18:16:580

XILINX-ISE-14.5设计教程

xilinx-ise 新手教程VHDL的,感兴趣的可以看看。
2016-09-27 15:19:0377

Modelsim编译Xilinx器件库的另一种方法

视为木马。我以为是360误报,直接将360安全卫士关闭了。后来,电脑上的软件一个接一个的出现问题,说是软件的某个文件被感染了。最后只能被迫重新装系统,装完后立刻装上ISE14.7,想着modelsim编译Xilinx器件库时间太久了,就不装了,先用ISE14.7自带的仿真工具ISIM。用了几
2017-02-08 12:20:12207

关于xilinx14.6在modelsim SE 10.1a仿真中遇到的若干问题

由于两个软件都是较新的版本,在配合使用过程中出现的问题会比较多,且与之前版本的解决办法有出入。 1.在使用ModelSim软件对Xilinx ISE进行后仿真时,需要先编译Xilinx仿真库。这个
2017-02-08 15:20:11826

新手学习FPGA之Xilinx篇如何将ISEModelSim关联

最近在学习FPGA,使用ModelSimISE进行仿真,首先要将ISEModelSim进行有效的关联,折腾了一天终于弄懂了如何将他们有效的关联在一起。 (1)首先要安装ModelSim,注意
2017-02-09 01:34:111385

Xilinx ISE使用错误和警告汇总

Xilinx ISE使用错误和警告汇总,具体的跟随小编一起来了解一下。
2018-07-13 06:10:005848

modelsim中的两个操作:do wave.do 和combine signals

do wave.do 在ISE联合使用modelsim仿真时,经常要修改了ISE里面的程序然后重新仿真,重新加载程序可以使用do
2017-02-10 15:17:072369

ISE联合modelsim功能仿真和综合后仿真

1、代码输入(1)、新建一个ISE工程,名字为count4。(2)、新建一个verilog文件
2017-02-10 15:48:095067

记利用compxlibgui工具编译Xilinx

ISE调用ModelSim进行仿真的时候,如果在FPGA设计中使用了Xilinx提供的的IP core或者其他的原语语句,ModelSim不添加Xilinx相应的库文件的话,是无法仿真的
2017-02-11 15:22:371274

Xilinx ISE是如何调用ModelSim进行仿真的

在我们用ModelSim仿真的时候经常是修改一点一点修改代码,这样会造成一个无奈的操作循环:修改代码--->编译代码--->仿真设置--->进入仿真页面--->添加需要观察的波形--->运行仿真
2017-02-11 15:25:0710139

基于Xilinx ISE结合MATLAB对数字系统进行联合设计与仿真的方法设计详解

联合设计与仿真的方法针对数字信号处理FPGA设计实现中碰到的问题和困难,提出了有效可行的解决方法,大大提高了数字信号处理算法FPGA设计实现的效率,有较高的推广应用价值。联合设计与仿真的方法主要包括以下3个方面。
2018-07-20 11:45:002127

Modelsim仿真教程Modelsim的基础入门基础教程免费下载

Modelsim,但是Modelsim不是仿真,严格来讲Modelsim只是仿真所需的工具而已,又或者说Modelsim只是学习仿真的一部小插曲而已。除此之外,笔者也认为仿真可以是验证语言,但是验证语言却不是仿真,因为验证语言只是仿真的一小部分而已,事实上仿真也不一定需要验
2019-04-30 18:24:0023

Modelsim与MATLAB的联合仿真

总体思想是现在 MATLAB 中产生仿真所需要的输入信号,以十六进制形式存放在数据文件中,在modelsim 中用 vhdl 语言编写测试文件,做时序仿真,最后将结果存入另外一个数据文件,最后在 matlab中将 modelsim仿真输出文件读入一个数组中,以便可以作图分心,进一步做误差分析。
2021-06-01 10:31:2033

基于ModelSim使用二联合Quarus自动仿真教程

3 ModelSim工程实战之自动仿真说完了 ModelSim 的使用流程,接下来我们将会对每个流程进行详细的操作演示,一步步、手把手带领大家学习使用 ModelSim 软件。首先我们讲解
2021-07-23 10:51:171710

Vivado与ModelSim联合仿真操作

Vivado自带的仿真,个人觉得跑一些小模块的仿真还是可以的,不过跑大的仿真系统,容易无体验感,建议用第三方工具,这边就直接对ModelSim下手了,接下来介绍下这两者联合仿真的操作。
2022-03-11 11:32:116154

modelsim波形仿真的基本操作了解

的时间在5分钟左右。为此,通过不断地学习,终于将波形仿真的各个事项了解清楚。这里做一下记录,方便以后回顾。
2022-11-29 09:52:132374

已全部加载完成