0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Xilinx ISE使用错误和警告汇总

Hx 作者:工程师陈翠 2018-07-13 06:10 次阅读

(1)编dcm时钟控制测试程序时,设置好了ip,例化输出,综合时出现错误

ERROR:Xst:2035 - Port has illegal connections. This port is connected to an input buffer and other components.

查到的解决方法是禁掉自动I/O Buffer insertion 功能,具体的做法是右击synthesize,然后properties-》Xilinx Specific Options,把add I/O buffer 的勾去掉,综合通过。但是这样处理了之后在map时又引入了许多的warning,而且还会引发错误。方法出处一会转帖出来。

(2)dac8812的控制时序测试时,综合没问题,但是map时出现错误

Pack:198 - NCD was not produced. All logic was removed from design.

其实这个错误的来源是下面的这几个warning导致

MapLib:701 - Signal clk connected to top level port clk has been removed.

MapLib:701 - Signal dad connected to top level port dad has been removed.

以下省略很多这样的warning,这个问题之前一直没注意,后来才知道问题出在我在问题(1)中的处理,按照同样的流程把add I/O buffer 勾上,综合到route都没什么问题,可见warning也是不能忽略的呀。

(3)刚才不知道怎么了,行为仿真的时候出现下面的error

ERROR:HDLParsers:3482 - Could not resolve instantiated unit dacinter in Verilog module work/datest_top in any library

意思好像是找不到我top里例化的模块了,重新添加了一下,好用了。

(4)行为仿真发现没有波形,全是XX或者ZZZ,以前改一下clk频率就好了,这回这招不好使了,后来尝试改了一下rst的时间点,向后一段时间,发现好用了,仿真用的是ise自带的simulation。

(5)综合错误:ERROR:Xst:528 - Multi-source in Unit on signal

大多数时候应该是同一个变量,在两个always模块中赋值了。还查到一些其他情况,一并粘贴:

Solution 1

This error appears when XST determines that there is contention on a particular signal. If the processes assigning values to this signal are mutually exclusive (as in the case of 3-state buffers), this message can be ignored.

However, in most cases, XST is able to determine when multiple drivers are illegal, and will stop synthesis soon after this message.

Check this signal and modify your code to avoid the existing contention.

Solution 2

In some cases, XST ties unconnected output ports to ground. If the output port is part of a 3-state bus, which in turn connects to another 3-state bus, then connecting one bit of the bus to ground will cause a multiple-driver error. Verify that this is not occurring in your design by searching for the following warning:

“WARNING:Xst:1305 - Output 》 is never assigned. Tied to value 0.”

To work around this issue, remove the unused output port.

Solution 3

This has also been seen in the following condition:

When there is an association signal named to_qvm_d4.Q_num.

When using association signal(to_qvm_d4.Q_num), XST will rename it to “to_qvm_d4_Q_num” during synthesis. There is signal named “to_qvm_d4_Q_num” in the same architecture. XST is confused with these two signals and errors.

To work around this issue, rename either of the two signals.

Solution 4

EDK Designs

For EDK Designs using bidirectional signals DIR=IO and THREE_STATE=FALSE, the external port name must match the connecting signal name exactly. NOTE: IOB_STATE is deprecated in future EDK versions.

(6)ERROR:Xst:902 - “dec_seg.v” line 38: Unexpected event in always block sensitivity list.

一个组合电路,综合出错,上网查说是敏感表中不能既有电平又有边沿,而且也不能同一个信号的上升沿和下降沿同时出现,去掉敏感表中的边沿,运行OK了。

(7)map的时候出现错误ERROR:Pack:679 - Unable to obey design constraints (LOC = 。..) which require the combination of the following symbols into a single slice component:

检查ucf文件,发现有一个管脚被重复分配,改了一下,运行OK!

(8)ERROR:NgdBuild:604 - ‘GTP_DUAL_1’ could not be resolved,这个错误在translate的时候报,是软件的bug,网上查说ise12.2多发,但是我用的9.1也出了这个,原因大概是ISE只复制了顶层的NGC文件,即mycpu.ngc,而顶层mycpu还包含其它ngc文件,因此找不到,报错。按照查到的解决办法,有两种。

解决办法1是:在mycpu module前面加上

(* box_type = “user_black_box” *)

如:

(* box_type = “user_black_box” *)

mycpu my_cpu_moudle (.fpga_0_clk_1_sys_clk_pin(sys_clk),

.fpga_0_rst_1_sys_rst_pin(sys_rst_n),

.fpga_0_RS232_RX_pin(uart_rxd),

.fpga_0_RS232_TX_pin(uart_txd),

.led_out_GPIO_IO_O_pin(led_out[0:3]));

办法2是在ISE 的processes栏下,选中Translate,右键process propreties.。..。

弹出Translate Properties对话框,

在-sd macro search path 中加上EDK工程的implementation子目录的路径就可以了

一开始采用了第一种解决方案,translate通过,但在map时出错。改用第二种,问题是,我用了两个ip core,这个指定的路径只能是一个最直接的目录,所以只能完全解决其中一个core的错误,把两个core的文件夹里的文件全拷到工程目录中,指定工程目录路径为implementation子目录路径,搞定。

可以忽略的warning

(1)ProjectMgmt - “F:/verilogworks/FPGATESTS/datest_top_map.ncd” line 0 duplicate design unit: ‘Module|datest_top’

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Xilinx
    +关注

    关注

    70

    文章

    2121

    浏览量

    119374
  • ISE
    ISE
    +关注

    关注

    1

    文章

    100

    浏览量

    35928
收藏 人收藏

    评论

    相关推荐

    Xilinx ISE Design Suite 12.3 下

    Xilinx ISE Design Suite 12.3 – 现已面市!
    发表于 10-09 15:22 1248次阅读

    Xilinx ISE Design Suite 14.2 安装图解

    电子发烧友网核心提示 :Xilinx ISE 14.2安装指南,包括Xilinx ISE 14.2软件下载、Xilinx
    发表于 10-31 11:59 6.1w次阅读
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>ISE</b> Design Suite 14.2 安装图解

    ISE使用时出现了一个奇怪的警告

    在检查语法时,出现了一个警告,求告诉什么原因?WARNING:Xst:2838 - Path definition '$XILINX/verilog/xst/nt64/unisim_ver
    发表于 07-18 00:59

    XILINX ISE 11.1安装正确但不能模拟ISIM

    我使用Windows 7 X64位戴尔桌面和我下载ISE 11.1 Webpack它工作正常,我能够正确实现它,但当我模拟它(使用ISIM)我收到错误警告:找到了WEBPACK许可证。警告
    发表于 11-19 14:34

    ISE 13.3进行模拟时显示警告

    当我在ISE 13.3中进行模拟时,报告显示以下警告警告:模拟器:732- 忽略Verilog文件C:/Xilinx/13.3/ISE_D
    发表于 02-18 10:58

    运行xilinx blockset中的错误包含在matlab中

    喜我使用过xilinx 14.1和matlab2012a当我打开一个系统生成器时,它会在matlab命令窗口中显示错误,如下所示:警告:xbsIndex.mdl,第7行:评估
    发表于 03-11 14:17

    Xilinx ISE中的1018错误

    这些输入外,还需要一个外部时钟来锁存此RGB数据。将此时钟信号运行到PMOD上的通用I / O引脚会在Xilinx ISE中产生Place:1018错误。将错误覆盖到Place:101
    发表于 05-29 12:35

    在哪里可以找到Xilinx警告错误的解释?

    在哪里可以找到Xilinx警告错误的解释以及如何为Vivado修复它们的文档?
    发表于 04-13 08:46

    Windows 10 Xilinx ISE 13.4错误

    你好,我的Xilinx ISE 13.4(Webpack)有问题,最近我将我的电脑从Windows 7专业版升级到Windows 10,当我试图打开ISE 13.4时,它给了我一个错误
    发表于 04-17 09:57

    对于使用但未声明的电线Xilinx ISE不会发出警告/错误的原因是什么

    。我在Verilog中编写了代码。在理想情况下,ISE 14.7工具应报告此类情况的错误/警告消息。而且,ISIM模拟不应该正常工作。奇怪的是,模拟工作和ISE工具继续而没有报告。如果
    发表于 05-08 10:02

    xilinx ISE设计套装10.1下载

    xilinx ISE设计套装10.1下载
    发表于 04-17 16:15 1916次下载

    MODELSIM仿真(适合xilinx ISE)

    基于Xilinx ISE的modelsim仿真教程
    发表于 11-30 15:52 8次下载

    Xilinx_ISE软件简单教程

    xilinx_ise9.01中文教程 xilinx_ise9.01中文教程
    发表于 02-18 18:16 0次下载

    XILINX-ISE-14.5设计教程

    xilinx-ise 新手教程VHDL的,感兴趣的可以看看。
    发表于 09-27 15:19 77次下载

    减少Xilinx Ise与Modelsim联合仿真的错误方法

    我们经常使用Xilinx Ise与Modelsim联合仿真,但是经常出现一些由于库没有编译而出现的错误!下面是我总结的方法:
    发表于 02-11 13:43 1371次阅读
    减少<b class='flag-5'>Xilinx</b> <b class='flag-5'>Ise</b>与Modelsim联合仿真的<b class='flag-5'>错误</b>方法