0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

介绍一种通过SystemC做RTL/C/C++联合仿真的方法

Hack电子 来源:Hack电子 2023-12-13 10:11 次阅读

前言

FPGA开发者需要做RTL和C/C++联合仿真的时候,一些常用的方法包括使用MicroBlaze软核,或者使用QEMU仿真ZYNQ的PS部分。

教程介绍一种通过SystemC做RTL/C/C++联合仿真的方法,所有的BFMs(Bus Function Module)都是通过SystemC完成。

文中所涉及的所有代码均在Vivado和Modelsim/Questasim上做了验证。

简介

SystemC基础

SystemC是标准C/C++语言的延伸,即可以描述硬件,也具有C/C++的特性。这个特点让SystemC特别适合做系统级别的设计、建模以及验证。

SystemC是周期精确的,其主要的组包括

信号,用来连接两个或者多个模块,提供了传输系统间传输与交流数据的通道。

端口,模块的输入、输出接口

模块,类似VHDL里面的Entity和敏感列表。

主函数(sc_main),与C/C++一致,函数的入口。

在SystemC中实例化一个RTL的实体

在SystemC中实例化一个RTL的实体很直观,你只需要为其RTL实体手写一个对应的SystemC的外部模块,之后这个模块就可以在SystemC的环境被被其它类调用。

下面将举例说明如果在SystemC中构建一个VHDL的实体

示例 VHDL的设计

entitycounteris
port(
clk:instd_logic;
reset:instd_logic;
count:std_logic_vector(7downto0)
);
end;
architecturertlofcounteris
…
endrtl;

对应的SystemC模块

classcounter:publicsc_foreign_module{
public:
sc_inclk;
sc_inreset;
sc_out>count;
counter(sc_module_namenm):sc_foreign_module(nm,"work.counter"),
clk("clk"),
reset("reset"),
count("count"){
}
};

需要注意的是,你还可以通过ModelSim/QuestaSim提供的scgenmod工具自动生成VHDL、Verilog对应的SystemC的模块。

用SystemC设计BFMs

因为SytemC是通过时钟驱动的, 在SystemC里面写BFMs就变得很直接在SystemC中实现AXI4-Lite的读操作可以如下,

u32Xil_In32(UINTPTRAddr){
u32data;
if(aresetn_i.read()==SC_LOGIC_0){
awaddr.write(0);
awvalid.write(SC_LOGIC_0);
wdata.write(0);
wvalid.write(SC_LOGIC_0);
bready.write(SC_LOGIC_1);
araddr.write(0);
arvalid.write(SC_LOGIC_0);
rready.write(SC_LOGIC_1);
}
else{
sc_core::wait(aclk_i.posedge_event());
araddr.write(addr);
arvalid.write(SC_LOGIC_1);
uint32_tnum_clocks=0;
while(arready.read()!=SC_LOGIC_1){
sc_core::wait(aclk_i.posedge_event());
num_clocks++;
if(num_clocks==m_timeout_clks){
arvalid.write(SC_LOGIC_0);
return-1;
}
}
arvalid.write(SC_LOGIC_0);
num_clocks=0;
while(rvalid.read()!=SC_LOGIC_1){
sc_core::wait(aclk_i.posedge_event());
num_clocks++;
if(num_clocks==m_timeout_clks){
return-1;
}
}
data=rdata.read().to_uint();
}
returndata;
}

在SystemC中实现AXI4-Lite的写操作可以如下

voidXil_Out32(UINTPTRAddr,u32Value){
if(aresetn_i.read()==SC_LOGIC_0){
awaddr.write(0);
awvalid.write(SC_LOGIC_0);
wdata.write(0);
wvalid.write(SC_LOGIC_0);
bready.write(SC_LOGIC_1);
araddr.write(0);
arvalid.write(SC_LOGIC_0);
rready.write(SC_LOGIC_1);
}
else{
sc_core::wait(aclk_i.posedge_event());
awaddr.write(Addr);
awvalid.write(SC_LOGIC_1);
wdata.write(Value);
wvalid.write(SC_LOGIC_1);
uint32_tnum_clocks=0;
boolm_awready=false;
boolm_wready=false;
while((!m_awready)||(!m_wready)){
sc_core::wait(aclk_i.posedge_event());
if(awready.read()==SC_LOGIC_1){
m_awready.write(true);
awvalid.write(SC_LOGIC_0);
}
if(wready.read()==SC_LOGIC_1){
m_wready.write(true);
wvalid.write(SC_LOGIC_0);
}
num_clocks++;
if(num_clocks==m_timeout_clks){
awvalid.write(SC_LOGIC_0);
wvalid.write(SC_LOGIC_0);
return;
}
}
num_clocks=0;
while(bvalid.read()!=SC_LOGIC_1){
sc_core::wait(aclk_i.posedge_event());
num_clocks++;
if(num_clocks==m_timeout_clks){
return;
}
}
}
}

系统设计框图

完整的系统框图如下所示。清楚的展示是SystemC /DUT之间的调用关系。

674e08e8-995c-11ee-8b88-92fbcf53809c.png

软件需求

在教程中,需要以下设计工具

Vivado Design Suite

Modelsim/Questasim

预先编译的Vivado IP的仿真库

示例工程

打开Vivado,创建一个空的工程。

在Vivado里面,打开Create and Package New IP wizard,选择Create a new AXI4 peripheral,之后create an AXI4 peripheral using the default template。

使用scgenmod指令创建SystemC的外部模块。

重写xil_io.c文件,其实现是通过上面介绍的SystemC完成BFMs的方法。

编译,连接。最后运行vsim。

测试结果

以下是Questasim的仿真结果,通过打印的信息可以看到 RTL和C/C++的联合仿真功能的运行。

#vsim-t1psxil_defaultlib.Envxil_defaultlib.glbl-onfinishstop-Lxpm-Lunisims_ver-Lsecureip-Lunimacro_ver-Lxil_defaultlib-lsimlog.txt-assertdebug
#******************************
#*UserPeripheralSelfTest
#******************************
#Userlogicslavemoduletest...
#
#-slaveregisterwrite/readpassed
#
#**Note:(vsim-6574)SystemCsimulationstoppedbyuser.

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21326

    浏览量

    593216
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132163
  • RTL
    RTL
    +关注

    关注

    1

    文章

    377

    浏览量

    59069
  • C++
    C++
    +关注

    关注

    21

    文章

    2066

    浏览量

    72900
  • systemc
    +关注

    关注

    2

    文章

    25

    浏览量

    14481
收藏 人收藏

    评论

    相关推荐

    如何使用SystemCRTL和C/C++联合仿真呢?

    当FPGA开发者需要做RTL和C/C++联合仿真的时候,一些常用的方法包括使用MicroBlaze软核,或者使用QEMU
    的头像 发表于 12-13 10:13 425次阅读
    如何使用<b class='flag-5'>SystemC</b>做<b class='flag-5'>RTL</b>和C/<b class='flag-5'>C++</b>的<b class='flag-5'>联合</b><b class='flag-5'>仿真</b>呢?

    如何在ModelSim下用SystemC验证?

    如何在ModelSim下编译和仿真SystemC的设计?如何在ModelSim下用SystemC验证?SystemC作为
    发表于 03-01 11:30

    quartus + modelsim 联合仿真问题

    quartus+modelsim联合仿真的时候,但有的.v源文件进行仿真前,需要把它设置为顶层模块(源文件并没有语法错误),然后将工程分析和综合后,点击RTL simulation,就
    发表于 08-07 13:39

    C++笔记004:C++类通俗点说—— C结构体复习

    的程序设计中,对象具有属性(状态)和行为,属性保存在成员变量中,行为通过成员方法(函数)来实现。成员方法又是什么?其实方法和函数可以理解为
    发表于 03-05 12:53

    【正点原子FPGA连载】第章HLS简介-领航者ZYNQ之HLS 开发指南

    Vivado HLS中可以使用三语言进行设计开发,分别是 CC++SystemC。其中C语言是
    发表于 10-10 16:44

    怎样用Visual C++编程工具设计一种快速虚拟示波器试验系统?

    怎样用Visual C++编程工具设计出一种快速虚拟示波器试验系统?从而实现高速数据的采集和动态波形的显示。
    发表于 04-09 06:43

    一种数模混合SoC设计协同仿真的验证方法

    固网短信电话专用SoC芯片介绍一种数模混合SoC设计协同仿真的验证方法
    发表于 04-23 06:06

    介绍一种通过Matlab脚本写入C代码的方法

    本文介绍一种通过Matlab脚本写入C代码的方法,以实现通过管理表格文件就可以生成具有
    发表于 08-17 07:58

    介绍一种嵌入式系统仿真方法

    /计数器等功能。本文介绍一种嵌入式系统仿真方法通过一种特殊设计的指令集
    发表于 11-08 06:16

    学习C++方法以及C++的就业方向

    学习方向:嵌入式+人工智能嵌入式是门技术学习目标1.嵌入式开发概述;(面向对象在嵌入式开发中角色)2.嵌入式Linux C++编程;(C++概述、C++学习
    发表于 12-24 07:32

    对卷积层的C++实现详细介绍

    HDL 等 RTL。然后在 Vivado 中对生成的 RTL 执行功能仿真。在这个逻辑仿真中,将个类似于
    发表于 02-24 15:41

    Cortex-A53 SystemC循环模型用户指南

    本节介绍ARM Cortex®-A53系统C周期模型。 ARM系统C周期模型直接从RTL代码编译而来。 SystemC模型包装器以源代码形式
    发表于 08-16 07:30

    Cortex-R8 SystemC循环模型用户指南

    本节介绍ARM Cortex®-R8系统C周期模型。 ARM系统C周期模型直接从RTL代码编译而来。 SystemC模型包装器以源代码形式提
    发表于 08-16 06:47

    Cortex-R52 SystemC循环模型11.2版用户指南

    本节介绍ARM Cortex®-R52系统C周期模型。 ARM系统C周期模型直接从RTL代码编译而来。 SystemC模型包装器以源代码形式
    发表于 08-18 07:25

    SystemC 的验证方法和流程介绍

    SystemC 是由C++衍生而来,本质是在C++的基础上添加了硬件扩展库和仿真核,这使SystemC 可以在不同抽象级对复杂电子系统建模。
    发表于 07-19 11:55 4762次阅读
    <b class='flag-5'>SystemC</b> 的验证<b class='flag-5'>方法</b>和流程<b class='flag-5'>介绍</b>