0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA之家

文章:874 被阅读:292.4w 粉丝数:174 关注数:0 点赞数:63

广告

STM32高手进阶之路与实用学习步骤

CPU是相通的,相信大部分的同学都学习过单片机,是有一定基础的。如果你碰到问题,去尝试了,自己把问题....
的头像 FPGA之家 发表于 03-13 09:38 136次阅读

FPGA设计的IP和算法应用综述

IP(Intelligent Property) 核是具有知识产权核的集成电路芯核总称,是经过反复验....
的头像 FPGA之家 发表于 03-07 09:35 534次阅读
FPGA设计的IP和算法应用综述

在Vivado Synthesis中怎么使用SystemVerilog接口连接逻辑呢?

SystemVerilog 接口的开发旨在让设计中层级之间的连接变得更加轻松容易。 您可以把这类接....
的头像 FPGA之家 发表于 03-04 15:25 358次阅读
在Vivado Synthesis中怎么使用SystemVerilog接口连接逻辑呢?

Xilinx和Intel之外,还有谁掌握了"万能芯片"的密钥?

集成电路被誉为高端制造业的“皇冠明珠”,而在集成电路里,FPGA又被称为“万能芯片”,作为可定制的芯....
的头像 FPGA之家 发表于 01-23 10:46 369次阅读
Xilinx和Intel之外,还有谁掌握了"万能芯片"的密钥?

CPLD和FPGA的区别

CPLD和FPGA都是由逻辑阵列模块构成的,但是CPLD的LAB基于乘积和宏单元,而FPGA的LAB....
的头像 FPGA之家 发表于 01-23 09:17 270次阅读

如何利用xilinx器件中LUT的结构特征设计乘法器呢?

卷积占据了CNN网络中绝大部分运算,进行乘法运算通常都是使用FPGA中的DSP,这样算力就受到了器件....
的头像 FPGA之家 发表于 01-19 09:14 302次阅读
如何利用xilinx器件中LUT的结构特征设计乘法器呢?

浅析FPGA的调试-内嵌逻辑分析仪(SignalTap)原理及实例

对于FPGA调试,主要以Intel FPGA为例,在win10 Quartus ii 17.0环境下....
的头像 FPGA之家 发表于 01-12 09:34 775次阅读
浅析FPGA的调试-内嵌逻辑分析仪(SignalTap)原理及实例

FPGA系列之“速度等级”选型介绍

大家在进行FPGA选型时都会看见一个参数:Speed Grade,这就是芯片的速度等级。
的头像 FPGA之家 发表于 01-08 09:20 776次阅读
FPGA系列之“速度等级”选型介绍

【Vivado约束学习】 时钟约束介绍

在数字设计中,时钟代表从寄存器(register)到寄存器可靠传输数据的时间基准。
的头像 FPGA之家 发表于 01-04 09:16 407次阅读
【Vivado约束学习】 时钟约束介绍

I2C总线的基本用法 I2C总线硬件问题汇总

一般情况下, i2c 设备焊接没什么问题,按照设备手册一步步来,基本上就顺风顺水能够用起来。如果这么....
的头像 FPGA之家 发表于 12-26 09:33 463次阅读
I2C总线的基本用法 I2C总线硬件问题汇总

FPGA之Verilog点灯小程序

首先个人用的是QuartusII11.0,开发板用的是CycloneIV的EP4CE6E22C8N芯....
的头像 FPGA之家 发表于 12-25 09:13 674次阅读

FPGA设计技巧—多时钟域和异步信号处理解决方案

有一个有趣的现象,众多数字设计特别是与FPGA设计相关的教科书都特别强调整个设计最好采用唯一的时钟域....
的头像 FPGA之家 发表于 12-22 09:04 863次阅读
FPGA设计技巧—多时钟域和异步信号处理解决方案

Fpga Verilog SDRAM模块—单字读写案例

笔者与SDRAM有段不短的孽缘,它作为冤魂日夜不断纠缠笔者。笔者尝试过许多方法将其退散,不过屡试屡败....
的头像 FPGA之家 发表于 12-15 09:09 630次阅读
Fpga Verilog SDRAM模块—单字读写案例

国产FPGA都有哪些厂商?

写了这么多FPGA的文章却从来没有涉及过国产FPGA,很多网友甚至不知道还有国产FPGA。下面列举一....
的头像 FPGA之家 发表于 12-12 11:30 1572次阅读

FPGA通过SPI对ADC配置简介(三)3线SPI配置时序分析

AD9249的SPI控制模块包含4根信号线,即CSB1、CSB2、SDIO以及SCLK。但CSB1、....
的头像 FPGA之家 发表于 12-12 10:47 888次阅读
FPGA通过SPI对ADC配置简介(三)3线SPI配置时序分析

FPGA通过SPI对ADC配置简介(二)-4线SPI配置时序分析

本篇将以德州仪器(TI)的高速ADC芯片—ads52j90为例,进行ADC的4线SPI配置时序介绍与....
的头像 FPGA之家 发表于 12-11 09:05 697次阅读
FPGA通过SPI对ADC配置简介(二)-4线SPI配置时序分析

嵌入式开发中I2C协议详解

I2C协议中最重要的一点是I2C地址。这个地址有7位和10位两种形式。
的头像 FPGA之家 发表于 12-05 09:16 434次阅读
嵌入式开发中I2C协议详解

TVM编译器的整体架构和基本方法

有将近两个月没有学习一些新东西,更新一下博客了。一直在忙公司的一个项目,是做一款支持LSTM和RNN....
的头像 FPGA之家 发表于 11-30 09:36 586次阅读
TVM编译器的整体架构和基本方法

浅谈Verilog HDL代码编写风格

消失了好久,没有写文章,也没有做笔记,因为最近再赶一个比赛,时间很紧,昨天周六终于结束了,所以趁着周....
的头像 FPGA之家 发表于 11-20 10:04 260次阅读
浅谈Verilog HDL代码编写风格

如何处理cache miss问题以提高加速器效率呢?

带宽是影响FPGA加速器的重要因素,因为大量的并行计算对数据量要求很大。
的头像 FPGA之家 发表于 11-16 16:36 365次阅读
如何处理cache miss问题以提高加速器效率呢?

40个单片机晶振问题及解决方法小结

单片机晶振电路中两个微调电容不对称会怎样?相差多少会使频率怎样变化?我在检测无线鼠标的接受模块时,发....
的头像 FPGA之家 发表于 11-15 15:54 389次阅读

如何在FPGA中实现高效的compressor加法树呢?

大规模的整数加法在数字信号处理和图像视频处理领域应用很多,其对资源消耗很多,如何能依据FPGA物理结....
的头像 FPGA之家 发表于 11-08 09:06 629次阅读
如何在FPGA中实现高效的compressor加法树呢?

静态时序分析基础知识

为了确保寄存器在时钟沿稳定采集数据,那么必须要满足寄存器的建立,保持时间要求。 建立时间要求:在寄存....
的头像 FPGA之家 发表于 11-07 09:30 292次阅读
静态时序分析基础知识

如何用LUT做一个可动态配置的卷积核呢?

由于卷积核数据在计算过程中保持不变,更新较慢。这样就可以利用LUT来存储权重并同时进行乘法运算。
的头像 FPGA之家 发表于 11-06 09:07 407次阅读
如何用LUT做一个可动态配置的卷积核呢?

Xilinx FPGA学习笔记

方法1.通过状态机来实现,通过verilog控制FPGA,让它该快的时候快,该慢的时候慢。
的头像 FPGA之家 发表于 11-02 09:48 328次阅读
Xilinx FPGA学习笔记

嵌入式软件与生态系统:为嵌入式开发者提供必要的组件

Xilinx 提供两款工具来构建和部署嵌入式 Linux 解决方案。这些工具有 Xilinx 的 P....
的头像 FPGA之家 发表于 10-31 16:59 289次阅读
嵌入式软件与生态系统:为嵌入式开发者提供必要的组件

Vitis加速库:广泛且性能优化的开源库

Vitis 统一软件平台包括一组广泛的、性能优化的开源库,这些库提供了即开即用的加速功能,并且对现有....
的头像 FPGA之家 发表于 10-30 17:23 257次阅读
Vitis加速库:广泛且性能优化的开源库

您的存储器堆叠了吗?—赛灵思推出16GB HBM FPGA

当您想到处理性能时,脑子里最先出现的影响因素往往并不是存储吧?但是,如果您正在处理海量的大型数据集,....
的头像 FPGA之家 发表于 10-24 16:41 380次阅读

如何整定卡尔曼滤波的Q、R参数?

通常情况下所使用的Kalman滤波器是离散时间系统形式的。我们真正想得到的物理量表示成系统状态中的某....
的头像 FPGA之家 发表于 10-19 10:07 645次阅读
如何整定卡尔曼滤波的Q、R参数?

RCC时钟基础知识和常见问题

谐振器和负载电容要求必须尽可能地靠近振荡器的引脚,减少失真和起振时间。外部用户时钟必须使用占空比约为....
的头像 FPGA之家 发表于 10-16 16:48 556次阅读
RCC时钟基础知识和常见问题