0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA之家

文章:875 被阅读:301.4w 粉丝数:175 关注数:0 点赞数:64

广告

从赛灵思FPGA设计流程看懂FPGA设计

综合优化(Synthesize)是将硬件语言或原理图等设计输入翻译成由与,或,非门、RAM、触发器等....
的头像 FPGA之家 发表于 01-04 13:55 1004次阅读

详解FPGA的四大设计要点

SERDES:高速串行接口。将来PCI-E、XAUI、HT、S-ATA等高速串行接口会越来越多。有了....
的头像 FPGA之家 发表于 01-03 16:23 651次阅读

数字电子技术基础----逻辑函数的化简方法

本文通过具体题目来总结逻辑函数的化简方法。
的头像 FPGA之家 发表于 12-30 14:07 9911次阅读

RS触发器基本知识:同步RS触发器/主从触发器/JK触发器

在数字电路中,为协调各部分动作一致,常要求某些触发器于同一时刻工作,所以要引入同步信号。
的头像 FPGA之家 发表于 12-27 09:20 30372次阅读

什么是良好的Verilog代码风格?

相对于verilog1995的端口定义,这种定义方式将端口方向,reg或wire类型,端口位宽等信息....
的头像 FPGA之家 发表于 12-22 14:33 585次阅读

什么是良好的Verilog代码风格?

1. 前言 之前在公司负责制定代码规范,费了九牛二虎之力,终于整理出来一份文档。由于保密规定的缘故,....
的头像 FPGA之家 发表于 12-22 14:32 649次阅读

带有同步器的NoC结构是解决FPGA高速时序收敛的关键原因吗?

随着物联网、机器人、无人机、可穿戴/植入设备等低功耗便携式设备越来越普及,超低功耗SoC芯片技术也面....
的头像 FPGA之家 发表于 12-21 09:52 571次阅读

RapidIO核的仿真以及包时序的分析

它由一个仿真顶层的testbench和两个SRIO核顶层组成。其中顶层的testbench文件sri....
的头像 FPGA之家 发表于 12-19 10:33 1298次阅读

无线通信中的IQ调制,BPSK调制,QPSK调制,16QAM调制的理解

前面我们讲了IQ调制和解调的原理,下来我们看一下如何应用IQ调制来实现MPSK调制(QPSK、8PS....
的头像 FPGA之家 发表于 12-19 10:30 7376次阅读

DisplayPort-DP接口知识

DP设有专用总线,即AUX Channel,用于source和sink之间的握手。因为source是....
的头像 FPGA之家 发表于 12-19 10:25 2547次阅读

一个工程师打算在命令行里编译Xilinx SDK工程

在BSP工程目录下,有文件makefile,执行make命令,也可以编译。在BSP工程目录下,有很多....
的头像 FPGA之家 发表于 12-15 11:12 696次阅读

雷达信号处理:FPGA还是GPU?

FPGA和CPU一直是雷达信号处理不可分割的组成部分。传统上FPGA用于前端处理,CPU用于后端处理....
的头像 FPGA之家 发表于 12-14 11:46 1353次阅读

混合编程中的模块命名与管理

最近在项目中以SpinalHDL为主体做系统集成,其中遇到了不少模块命名与管理的坑,借此机会,再来聊....
的头像 FPGA之家 发表于 12-13 17:03 681次阅读

基于逻辑门的构成解释如何完成任意逻辑的管级电路设计

明白上述原因后,就可以理解输出的高电平由上管决定,低电平由下管决定。为了保证在某一时刻,输出只能为高....
的头像 FPGA之家 发表于 12-13 09:40 1596次阅读

跨时钟域处理的亚稳态与同步器

我们都知道数字电路中有两个最重要的概念,建立时间和保持时间。通过满足建立时间和保持时间,我们可以确保....
的头像 FPGA之家 发表于 12-12 14:27 689次阅读

Verilog HDL描述的组合逻辑环在FPGA实现时到底有什么问题?

组合反馈环的时序分析是无穷循环的时序计算,综合、实现等EDA 工具迫不得已一般必须主动割断其时序路径....
的头像 FPGA之家 发表于 12-12 10:01 2282次阅读

FPGA数字信号处理之verilog实现混频器

常见的数字混频器结构如下,由移频模块和求和模块组成。比如信号A、B是输入的两个单音信号,B是上一节讲....
的头像 FPGA之家 发表于 12-09 10:15 2423次阅读

FIR、IIR滤波器的FPGA实现和仿真研究分析

通常根据所加的窗函数的不同,在频域所得的低通滤波器的阻带衰减也不同。常用的窗函数有矩形窗、三角窗、汉....
的头像 FPGA之家 发表于 12-09 09:41 1598次阅读

FIR及IIR滤波器的FPGA实现

所以实际上我们得到的是h(t)抽样后的S平面与Z平面的映射,当Ω以2π/T整数倍改变时,会映射到Z平....
的头像 FPGA之家 发表于 12-09 09:41 1753次阅读

卡诺图的降维操作讲解

什么叫独立的控制灯的亮灭,如果是不管之前的状态是亮还是灭,其余每个开关都能使其状态发生改变(亮变灭或....
的头像 FPGA之家 发表于 12-06 09:45 9595次阅读

modelsim波形仿真的基本操作了解

在刚接触modelsim时,被其繁复的操作流程所困,一度只能依靠在quartus中修改代码编译后再重....
的头像 FPGA之家 发表于 11-29 09:52 2515次阅读

进程间通信的几种实现方式

所谓的瀑布模型,其实就是将整个软件开发过程分成多个阶段,往往是上一个阶段完全做完,才将输出结果交给下....
的头像 FPGA之家 发表于 11-29 09:33 1608次阅读

在ZCU102评估套件上实现NVMe SSD接口的解决方案

NVMeG3-IP 内核提供了一个在 ZCU102 评估套件上实现 NVMe SSD 接口的解决方案....
的头像 FPGA之家 发表于 11-28 15:37 1418次阅读

傅里叶变换、拉普拉斯变换、Z变换剖析

傅里叶变化只能对能量有限的信号进行变换(也就是可以收敛的信号),无法对能量无限的信号进行变换(无法收....
的头像 FPGA之家 发表于 11-28 11:00 1636次阅读

DDS直接数字式频率合成器基本原理及性能特点

直接数字式频率合成器DDS(Direct Digital Synthesizer),实际上是一种分频....
的头像 FPGA之家 发表于 11-28 09:27 4166次阅读

Modelsim初级使用教程

Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它....
的头像 FPGA之家 发表于 11-22 16:05 2395次阅读

经典的设计与验证流程

设计人员不写文档,直接写代码,边写边想,设计架构没有经过评审,和上下游交互接口和时序都对不上,代码写....
的头像 FPGA之家 发表于 11-21 10:44 1123次阅读

使用开源verilog仿真工具进行文件的编译和仿真

Icarus VerilogIcarus Verilog极其小巧,支持全平台Windows+Linu....
的头像 FPGA之家 发表于 11-21 09:40 2349次阅读

一款售价约280美元的Xilinx Artix-7100T FPGA开发板

FPGA采用的是ArtyA7,一款售价约 280 美元的 Xilinx Artix-7100T FP....
的头像 FPGA之家 发表于 11-17 11:19 1264次阅读

科普一下Verilog代码命名规范

命名规范包括模块命名规范和代码命名规范,代码命名需要有确定的含义,提高代码可读性和可维护性。
的头像 FPGA之家 发表于 11-17 09:54 2884次阅读