0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于台积电5nm制程工艺 112G SerDes连接芯片发布

SSDFans 来源:ssdfans 作者:ssdfans 2021-04-19 16:40 次阅读

到目前为止,在市场上有三种基于台积电N5工艺的产品:Mate 40 Pro中的华为麒麟9000 5G SoC, iPhone 12系列中的苹果A14 SoC,以及新的MBA/MBP和Mac Mini中的苹果M1 SoC,现在这个列表中又新添一名成员,它就是基于台积电5nm制程工艺 112G SerDes连接芯片。近日,Marvell宣布了其基于DSP的112G SerDes解决方案的授权。

现代网络基础架构依赖于高速的 SerDes 连接,并且能够以各种速率在不同协议下工作,代表性的有以太网、光纤、存储和连接结构。此前的产品已支持高达 56G 的连接,但最新 IP 已支持将它翻倍。尽管 Marvell 并不是第一家提供 112G 连接方案的厂商,但却是首个实现了通过硬件验证和授权 5nm 制程的企业。

有大量数据显示与其它112G解决方案相比,其不仅满足各种标准、还具有更低的能耗和错误率,特别是对高速、高可靠性的基础架构应用有相当实际的意义。Marvell 宣称,其新方案可显著降低每比特位传输的能耗,相较于基于台积电 7nm 工艺(N7)的竞品低了 25%,并且具有严格的功率 / 热功耗限制、以及大于 40dB 的插入损耗。

通常数据支持基于一系列 0 或 1 操作位的 NRZ 调制,但 Marvell 启用了 2 比特位的操作(00、01、10 或 11),又称 PAM4 脉冲幅度调制。这样可让带宽轻松翻倍,但也确实需要一些额外的电路。,PAM4以前在较低的SerDes速度和112G时已经启用,但在TSMC N5上却没有使用。当我们使用更快的速度时,PAM4将成为支持它们的必要条件。普通读者可能会发现,NVIDIA的RTX 3090使用了基于 7nm 工艺的 PAM4 信号调制,可让美光 GDDR6X 闪存芯片提供超过 1000 GB/s 的带宽。如有必要,还可以过在NRZ模式下运行,以获得更低的功耗。

图片来自美光公司

Marvell表示,该公司已经与多个市场的ASIC定制客户合作实施112G方案部署。该公司表示,除了新的112G SerDes外,还将推出一整套基于5nm的PHY、交换机、DPU、定制处理器控制器和加速器,而这只是第一步。

原文标题:Marvell发布基于台积电5nm制程工艺 112G SerDes连接芯片

文章出处:【微信公众号:ssdfans】欢迎添加关注!文章转载请注明出处。

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    447

    文章

    47788

    浏览量

    409113
  • 台积电
    +关注

    关注

    43

    文章

    5276

    浏览量

    164795

原文标题:Marvell发布基于台积电5nm制程工艺 112G SerDes连接芯片

文章出处:【微信号:SSDFans,微信公众号:SSDFans】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    台积电冲刺2nm量产,2nm先进制程决战2025

    人员接手试产及量产作业的种子团队,推动新竹宝山和高雄厂于 2024年同步南北试产、2025年量产。   从1971的10000nm制程5nm,从5nm向3
    的头像 发表于 08-20 08:32 2160次阅读
    台积电冲刺2<b class='flag-5'>nm</b>量产,2<b class='flag-5'>nm</b>先进<b class='flag-5'>制程</b>决战2025

    战略调整:冲刺2nm,大扩产.

    行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年03月26日 16:34:54

    AMD硅芯片设计中112G PAM4串扰优化分析

    在当前高速设计中,主流的还是PAM4的设计,包括当前的56G,112G以及接下来的224G依然还是这样。突破摩尔定律2.5D和3D芯片的设计又给高密度高速率芯片设计带来了空间。
    发表于 03-11 14:39 175次阅读
    AMD硅<b class='flag-5'>芯片</b>设计中<b class='flag-5'>112G</b> PAM4串扰优化分析

    高速 112G 设计和通道运行裕度

    高速 112G 设计和通道运行裕度
    的头像 发表于 12-05 14:24 355次阅读
    高速 <b class='flag-5'>112G</b> 设计和通道运行裕度

    自动化建模和优化112G封装过孔 ——封装Core层过孔和BGA焊盘区域的阻抗优化

    自动化建模和优化112G封装过孔 ——封装Core层过孔和BGA焊盘区域的阻抗优化
    的头像 发表于 11-29 15:19 245次阅读
    自动化建模和优化<b class='flag-5'>112G</b>封装过孔 ——封装Core层过孔和BGA焊盘区域的阻抗优化

    华为发布首款5nm 5G SoC,集成153亿晶体管

    的NMN910 5G SoC 芯片,也被称为麒麟9000。 这款芯片集成了49亿个晶体管,尺寸为 5 纳米,成为了全球首个量产的5nm 5G SoC芯片。这是一个重要的里程碑,它意味着
    的头像 发表于 09-01 16:47 7461次阅读

    112G高速连接器又添重磅玩家 安费诺发布新品释放AI硬件算力效能

    ,ExaMAX2® Gen2较上一代在性能方面有了显著改进。此次升级将使ExaMAX2® 连接器在信号完整性(包括反射和隔离)方面成为性能最佳的112G连接器之一。
    的头像 发表于 07-21 09:16 3257次阅读
    <b class='flag-5'>112G</b>高速<b class='flag-5'>连接</b>器又添重磅玩家 安费诺<b class='flag-5'>发布</b>新品释放AI硬件算力效能

    英特尔全新16nm制程工艺有何优势

    英特尔独立运作代工部门IFS后,将向三方开放芯片制造加工服务,可能是为了吸引客户,英特尔日前发布了全新的16nm制程工艺
    的头像 发表于 07-15 11:32 794次阅读

    Cadence发布面向TSMC 3nm 工艺112G-ELR SerDes IP 展示

    3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长
    的头像 发表于 07-10 09:26 441次阅读

    三星电子2nm制程工艺计划2025年量产 2027年开始用于代工汽车芯片

    外媒在报道中提到,根据公布的计划,三星电子将在2025年开始,采用2nm制程工艺量产移动设备应用所需的芯片,2026年开始量产高性能计算设备的芯片
    的头像 发表于 06-30 16:55 492次阅读

    揭秘半导体制程:8寸晶圆与5nm工艺的魅力与挑战

    在探讨半导体行业时,我们经常会听到两个概念:晶圆尺寸和工艺节点。本文将为您解析8寸晶圆以及5nm工艺这两个重要的概念。
    的头像 发表于 06-06 10:44 1604次阅读
    揭秘半导体<b class='flag-5'>制程</b>:8寸晶圆与<b class='flag-5'>5nm</b><b class='flag-5'>工艺</b>的魅力与挑战

    Cadence 发布面向 TSMC 3nm 工艺112G-ELR SerDes IP 展示

    3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长
    发表于 05-19 16:25 806次阅读
    Cadence <b class='flag-5'>发布</b>面向 TSMC 3<b class='flag-5'>nm</b> <b class='flag-5'>工艺</b>的 <b class='flag-5'>112</b>G-ELR <b class='flag-5'>SerDes</b> IP 展示

    Cadence发布面向TSMC 3nm工艺112G-ELR SerDes IP展示

    3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长
    的头像 发表于 05-19 15:23 702次阅读
    Cadence<b class='flag-5'>发布</b>面向TSMC 3<b class='flag-5'>nm</b><b class='flag-5'>工艺</b>的<b class='flag-5'>112</b>G-ELR <b class='flag-5'>SerDes</b> IP展示

    MLCC龙头涨价;车厂砍单芯片28nm设备订单全部取消!

    需求变化,28nm设备订单全部取消! 对于这一消息,
    发表于 05-10 10:54

    Cadence发布基于台积电N4P工艺112G超长距离SerDes IP

    楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日发布基于台积电 N4P 工艺112G 超长距离(112G-ELR)SerDes
    的头像 发表于 04-28 10:07 985次阅读