电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>如何使用FPGA打造VGA显卡

如何使用FPGA打造VGA显卡

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

基于FPGA实现VGA的彩色图片显示

VGA作为一种标准显示接口,广泛应用于各种智能控制的显示终端。伴随着电子产业的不断发展,尤其是高速图像处理的发展对可以将实时图像进行高速处理有了更高的要求。这里根据VGA接口的原理,通过FPGA
2014-03-24 11:54:224115

VGA接口的PCB可制造性设计问题详解

  VGA接口的标准化始于1987年,它是由Video Design Association(视频设计协会)制定的,是一种将彩色灰度视频信号从显卡输出到显示器的电气标准。VGA接口被广泛使用,因为
2023-09-13 08:53:23656

VGA接口驱动设计

VGA(Video Graphics Array)视频图形阵列是IBM于1987年提出的一个使用模拟信号的电脑显示标准。VGA接口即电脑采用VGA标准输出数据的专用接口。VGA接口共有15针,分成
2023-10-26 10:06:31466

FPGA实战演练逻辑篇27:VGA显示驱动子板设计

`VGA显示驱动子板设计本文节选自特权同学的图书《FPGA设计实战演练(逻辑篇)》配套例程下载链接:http://pan.baidu.com/s/1pJ5bCtt SF-VGA板载用于驱动VGA
2015-05-20 10:40:54

FPGA实战演练逻辑篇59:VGA驱动接口时序设计之6建立和保持时间约束

VGA驱动接口时序设计之6建立和保持时间约束本文节选自特权同学的图书《FPGA设计实战演练(逻辑篇)》配套例程下载链接:http://pan.baidu.com/s/1pJ5bCtt 接着,我们可以
2015-08-06 21:49:33

FPGA零基础学习之Vivado-VGA驱动设计

接口共有15针,分成3排,每排5个孔,显卡上应用最为广泛的接口类型,绝大多数显卡都带有此种接口。它传输红、绿、蓝模拟信号以及同步信号(水平和垂直信号)。VGA作为视频接口,具有分辨率高、显示速度快、颜色
2023-11-02 19:54:48

FPGA零基础学习:VGA协议驱动设计

不多说,上货。VGA协议驱动设计本篇实现基于叁芯智能科技的SANXIN -B01 FPGA开发板,以下为配套的教程,如有入手开发板,可以登录官方淘宝店购买,还有配套的学习视频。叁芯智能科技 FPGA
2023-03-22 16:51:57

VGA 显卡有哪些功能

1. VGA显卡所处理的信息最终都要输出到显示器上,显卡的输出接口就是电脑与显示器之间的桥梁,它负责向显示器输出相应 的图像信号。CRT显示器因为设计制造上的原因,只能接受模拟信号输入,这就需要显卡能输入模拟信号。VGA接口就是显卡上输出模拟信号的接 口,VGA(Video Graphi...
2021-07-27 06:45:27

VGA接口转换FPGA I/O 插口的原理图和PCB

` 本帖最后由 海中央 于 2013-8-31 09:59 编辑 由于自己的FPGA开发板上的 VGA 只能显示8中颜色,所以为了显示更多的颜色,我参考了网上的一些扩展RGB位宽的设计方案
2013-08-31 09:41:01

VGA输入到FPGA可能吗?

我想知道是否有开发套件和文档可用于数字化VGA siganls以使用FPGA创建数字视频帧。我看到许多生成VGA输出的fpga应用程序,但我正在寻找一个可以接收VGA输入的应用程序。这可能需要外部A
2019-01-29 09:45:37

fpga控制vga显示

fpga控制vga显示像素时钟为50Mhz,分辨率为800*600,刷新率60hz,结果屏幕显示超出范围,求大神解答一下是什么原因啊?
2015-01-31 13:40:08

fpga控制ov7670送vga显示源代码

`fpga+i2c控制ov7670+SDRAMFIFO送vga显示转载于bingo,纯verilog,欢迎移植我正在做这个,可以加好友交流经验`
2013-04-09 14:45:26

显卡故障检测与维修

显卡故障检测与维修  一. 显卡故障的检测   打开电脑电源,听到一声长滴和三声短滴就表示显卡有故障了,(当然这是指AWARD BIOS而言,如果你用的是AMI BIOS的话,你听到的将是八声短而
2010-01-05 17:09:11

显卡故障轻松处理

显卡故障轻松处理  故障一.开机无显示   此类故障一般是因为显卡与主板接触不良或主板插槽有问题造成。对于一些集成显卡的主板,如果显存共用主内存,则需注意内存条的位置,一般在第一个内存条插槽上应插有
2010-01-05 17:04:26

AD8120可用于改善VGA线模糊抖动吗?

现有计算机显卡输出VGA线较长(3米),为了改善信号质量,想设计单片8120线路(无8122),通过电位器调节达到预期效果,可否? 一般AD810只能配合8122使用么? VGA的地怎么处理能
2023-11-20 08:19:39

CPU到底是怎么操作独立显卡的?

首先我最关心CPU和显卡交互部分,显卡内部原理并不是很在乎。一、我大概知道早期DOS时代的VGA卡的原理:首先系统将高端的128K物理地址映射到VGA卡,然后CPU就可以直接操作VGA卡的显存了
2015-05-24 22:17:46

DP转VGA(CS5212替换RTD2166/IT66121

Graphics Array)视频图形阵列是一个使用模拟信号的电脑显示标准。VGA接口即电脑采用VGA标准输出数据的专用接口。VGA接口共有15针,分成3排,每排5个孔,显卡上应用最为广泛的接口类型,绝大多数显卡
2022-05-10 13:48:07

Linux下如何查看显卡信息

Linux查看显卡信息:[python] view plain copylspci | grep -i vga使用nvidia GPU可以:[python] view plain copylspci
2019-07-09 07:52:36

Linux如何查看显卡信息和显卡命令

lspci| grep -i vga这样就可以显示机器上的显卡信息,比如
2019-07-11 07:54:57

Xilinx FPGA入门连载68:VGA驱动ColorBar显示

`Xilinx FPGA入门连载68:VGA驱动ColorBar显示特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1 功能简介如图所示
2016-04-11 09:51:49

FPGA DEMO】Lab 6:摄像头VGA显示(高速--VGA)

://www.cnblogs.com/aslmer/p/5965229.html。 VGA接口即电脑采用VGA标准输出数据接口,是显卡上应用最为广泛的接口类型,它传输红、绿、蓝模拟信号以及同步信号。Perf-V开发板可以连接高速口
2021-07-30 15:34:58

【锆石A4 FPGA试用体验】VGA的输出应用

本帖最后由 超级开发板 于 2017-10-8 09:39 编辑 今天,我们来进行锆石A4 FPGA开发板的VGA输出应用FPGA能通过硬件描述语言的编程编程您想要的芯片,当然,也能把它变成
2017-08-15 09:49:59

例说FPGA连载28:VGA显示驱动子板设计

``例说FPGA连载28:VGA显示驱动子板设计特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc SF-VGA板载用于驱动VGA显示器
2016-09-05 18:46:46

勇敢的芯伴你玩转Altera FPGA连载20:VGA显示接口电路

`勇敢的芯伴你玩转Altera FPGA连载20:VGA显示接口电路特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1i5LMUUD FPGAVGA
2017-11-07 19:14:38

基于FPGAVGA图像控制问题

最近被一问题困扰很久了啊,具体是在FPGA的ROM中放入两张图像信息,实现按键能够切换显示的功能,但是代码方面却是解决不了啊关于I_ROM这个实在知道的很少呢,希望大侠们帮忙啊,代码如下
2013-06-01 16:39:54

基于FPGAVGA显示矩形框_VGA接口设计_VGA信号处理_明德扬资料

,成为电脑显卡上应用最为广泛的接口类型,绝大多数的显卡都带有此种接口。VGA类型的显示驱动需要比较高的扫面频率和尽量短的处理时间,因而通过FPGA来实现VGA显示的驱动和控制非常有效。在FPGA
2017-08-02 11:40:35

基于FPGAVGA显示设计项目

源码下载和技术交流请加FPGA交流群:97925396源码代码下载基于FPGAVGA设计.rar (13.96 KB )
2019-05-07 06:35:38

如何使用FPGA控制VGA显示?

如何使用FPGA控制VGA显示?
2021-04-30 07:04:36

如何利用FPGA实现VGA的彩色图片显示?

如何利用FPGA实现VGA的彩色图片显示?
2021-06-02 06:20:26

如何利用FPGA的LVDS信号转VGA源码及方案

如何利用FPGA的LVDS信号转VGA源码及方案
2018-09-29 20:37:41

我很奇怪FPGA怎么能驱动模拟的VGA信号呢?

买了FPGA开发板,做到FPGAVGA驱动实验,查资料知道VGA中的RGB是模拟信号。 问题1:原理图上FPGA的IO口直接连接的VGA的RGB,那么只有2种状态了0和1,一共只能显示:黑、R、G
2023-05-15 14:24:09

求推荐单片机驱动VGA显示器,可以外接驱动显卡

如题,寻找单片机驱动VGA显示器,单片机最好位数少点的,成本低的,可以外接驱动显卡,求具体显卡芯片型号。请大神不腻赐教。
2019-10-29 09:11:53

独立显卡有哪些种类?

独立显卡又分为内置独立显卡和外置显卡。平常我们见到的独立显卡都是内置独立显卡,是一片实实在在的显卡插在主板上,比如插在AGP或PCI E插槽上,拆开机箱看,和显示器信号线相连的那个家伙就是。
2019-10-16 09:12:07

FPGA实现VGA显示

FPGA实现VGA显示
2015-05-22 18:05:19

至芯科技昭哥带你学FPGAFPGA_100天之旅_VGA的设计

本文属于本人原创,和大家一起学习FPGA,交流FPGA,希望大家多多支持。来源:至芯科技昭哥带你学FPGAFPGA_100天之旅_VGA的设计今天内容为基于FPGAVGA的设计,详细内容请见以下
2017-10-23 01:24:39

请问谁有VGA转RGB的芯片吗?

电脑显卡VGA接口,经过VGA解码IC,输出RGB信号到LCD上面,有谁做过类似的方案吗?
2019-08-18 22:09:04

基于FPGA的LCD&VGA控制器设计

介绍了基于FPGA的图形式LCD&VGA控制器的设计,详细讨论了
2009-07-21 17:25:210

5.3.4VGA-4#FPGA

fpgaVGA总线/接口技术
FPGA小白发布于 2022-08-01 16:17:01

LCD转VGA视频加速卡

LCD转VGA视频加速卡:此 LCD 转VGA 视频加速卡是一款以FPGA+SDRAM+DAC 为核心的视频加速卡。带有LCD 控制器的CPU 通过此视频加速卡,可以驱动带VGA 接口的液晶显示器或CRT 显示器。此视频
2009-09-21 08:28:5156

基于FPGAVGA控制器设计与实现

利用FPGA 设计一个类似点阵LCD 显示的VGA 显示控制器,可实现文字及简单的图表显示。工作时只需将要显示内容转换成对应字模送入FPGA,即可实现相应内容的显示。关键词:FPGA
2010-09-22 10:17:23137

基于FPGA的PAL-VGA转换器的实现

介绍了基于Xilinx Spartan- 3E FPGA XC3S250E 来完成分辨率为738×575 的PAL 制数字视频信号到800×600 的VGA 格式转换的实现方法。关键词: 图像放大; PAL; VGA; FPGA 目前, 绝大多数监
2010-09-22 10:29:16174

VGA显卡工作原理及种故障维修方法

VGA显卡 显卡工作的三大总线:A(地址线)  D(数据线) AD(地址数据复合总线
2009-02-12 10:20:507804

基于FPGA的LCD&VGA控制器设计

摘要:介绍了基于FPGA的图形式LCD&VGA控制器的设计,详细讨论了用VHDL设计行场扫描时序的方法,这种设计方法稍作改动便可产生任意行场扫描时序,具有很好的可重用性。
2009-06-20 13:28:051371

#硬声创作季 数字设计FPGA应用:VGA1

fpgaVGA数字设计
Mr_haohao发布于 2022-10-24 03:14:05

VGA线和DVI线,VGA线和DVI线是什么意思

VGA线和DVI线,VGA线和DVI线是什么意思 VGA线 VGA线: 也是一种模拟信号视频线,最常见于电脑,其信号与
2010-03-26 09:42:3021257

影碟机的VGA输出

影碟机的VGA输出  VGA端子也叫D-Sub接口。VGA接口是一种D型接口,上面共有15针,分成三排,每排五个。VGA接口是显卡上应用最为广泛的接口类型,
2010-01-04 14:34:561432

基于FPGA及DSP Builder的VGA接口设计

本文基于DSP Builder的VGA接口设计方法,对VGA接口时序和系统设计需求进行了介绍,并在硬件平台下实现一维与二维信号的显示。  VGA接口标准  VGA
2010-08-03 10:23:401211

VGA图形控制器的FPGA实现

经过硬件平台的验证,基于FPGAVGA 图形显示器已达到设计要求,可实现彩条、汉字、小图像和大图像的显示,并可实现FPGA 器件对显示器的单片控制
2011-06-08 09:55:431994

基于FPGA的串口通讯与VGA显示

本文介绍了基于FPGA(现场可编程门阵列)具有串口控制功能的VGA显示图像的设计实现方案。通过对该设计方案进行分析,可把本设计分成3个模块一一进行实现,这3个模块分别是串口发
2011-09-19 15:26:51290

基于FPGAVGA图形控制器设计

VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。利用FPGA 芯片和EDA 设计方法,可以因地制宜,根据用户的特定需要,设计出针对性强的VGA 显示控制器,不仅能够大大降低
2011-09-23 16:31:234040

基于FPGAVGA接口显示技术

可以在不使用VGA 显示卡和计算机的情况下,实现VGA 图像的显示和控制。
2012-02-23 15:18:05106

堆叠与载入赛灵思打造令人惊叹的FPGA

堆叠与载入赛灵思打造令人惊叹的FPGA
2012-03-07 14:39:2623

基于FPGAVGA接口实现和字符显示

基于FPGAVGA接口实现和字符显示论文
2015-10-29 17:18:248

fpga_vga显示程序

fpga vga 显示,介绍了如何实现vga的操作以及如何配置
2016-03-15 18:29:066

VGA显示IP核(包括驱动)

Xilinx FPGA工程例子源码:VGA显示IP核(包括驱动)
2016-06-07 14:54:5718

基于Spartan3火龙刀系列FPGA开发板制作的VGA实验例程

Xilinx FPGA工程例子源码:基于Spartan3火龙刀系列FPGA开发板制作的VGA实验例程
2016-06-07 15:07:458

基于XILINX的XC3系列FPGAVGA控制器的VHDL源程

Xilinx FPGA工程例子源码:基于XILINX的XC3系列FPGAVGA控制器的VHDL源程序
2016-06-07 15:07:4512

FPGA模拟VGA时序PS_2总线的键盘接口VHDL源代码

Xilinx FPGA工程例子源码:用FPGA模拟VGA时序PS_2总线的键盘接口VHDL源代码
2016-06-07 15:11:2032

基于FPGAVGA图像控制器的设计与实现

基于FPGAVGA图像控制器的设计与实现
2016-08-30 15:10:1411

华清远见FPGA代码-VGA接口的设计与实现

华清远见FPGA代码-VGA接口的设计与实现
2016-10-27 18:07:548

vga接口设计原理及实现

VGA视频图形阵列是IBM于1987年提出的一个使用模拟信号的电脑显示标准。VGA接口即电脑采用VGA标准输出数据的专用接口。VGA接口共有15针,分成3排,每排5个孔,显卡上应用最为广泛的接口类型,绝大多数显卡都带有此种接口。它传输红、绿、蓝模拟信号以及同步信号(水平和垂直信号)。
2017-11-17 17:35:2813988

基于Zedboard FPGAVGA图像信号采集系统的设计

根据VGA(Video Graphic Array)的原理,采用VHDL硬件描述语言,设计了一种基于Zedboard FPGA板卡的图像显示方案。实验结果表明,在FPGA实现图片显示,达到了预期
2017-11-18 12:42:022119

VGA显示与基于FPGAVGA彩色图片显示设计

FPGAVGA 进行控制,实现 任一彩色图像的显示。通过采用 FPGA 设计 VGA 接口可以将要显示的数据直接送到液晶显示器,节省了计算机的处理过程,加快了数据的处理速度,节约了硬件成本。 常见的 VGA 接口的彩色显示器,一般由 cRT(阴极射线管)构
2017-11-30 14:57:2761

vga接口针脚定义_vga接口接线方法示意图

VGA视频图形阵列是IBM于1987年提出的一个使用模拟信号的电脑显示标准。VGA接口即电脑采用VGA标准输出数据的专用接口。VGA接口共有15针,分成3排,每排5个孔,显卡上应用最为广泛的接口类型,绝大多数显卡都带有此种接口。
2017-12-18 17:02:0069524

vga图像显示_fpga控制vga显示图片

本文为大家分享fpga控制vga显示图片的方法。
2018-01-15 15:56:337660

FPGA基础设计之VGA显示方法(文字、图形、波形)

VGA是一种学习FPGA最常见的基础实验。虽然现在的显示屏大多已经采用DVI和HDMI方案,但其实VGA在另一个地方还有应用,那就是大屏的LCD。目前4.3寸以上的TFT基本都是VGA接口,这样在完成一个FPGA系统设计时,选择一个VGA接口的TFT用来显示便是最简单方便的方案。
2018-06-26 07:03:0015974

关于FPGA中的VGA显示

hahaolinux 的VGA显示视频。
2018-06-22 01:29:005505

深入浅出玩转FPGA视频:256色VGA显示实验

VGA(Video Graphics Array)接口,也叫D-Sub接口。虽然液晶显示器可以直接接收数字信号,但很多低端产品为了与VGA接口显卡相匹配,因而采用VGA接口。
2019-12-18 07:09:001947

深入浅出玩转FPGA视频:VGA接口实验

VGA接口共有15针,分成3排,每排5个孔,显卡上应用最为广泛的接口类型,绝大多数显卡都带有此种接口。它传输红、绿、蓝模拟信号以及同步信号(水平和垂直信号)。
2019-12-18 07:03:001299

FPGA视频教程:BJ-EPM240学习板-VGA接口实验

VGA接口就是显卡上输出模拟信号的接口,VGA(Video Graphics Array)接口,也叫D-Sub接口。虽然液晶显示器可以直接接收数字信号,但很多低端产品为了与VGA接口显卡相匹配,因而采用VGA接口。
2019-12-11 07:06:001818

BJ-EPM240学习板:VGA接口实验

VGA接口就是显卡上输出模拟信号的接口,VGA(Video Graphics Array)接口,也叫D-Sub接口。虽然液晶显示器可以直接接收数字信号,但很多低端产品为了与VGA接口显卡相匹配,因而采用VGA接口。
2019-12-24 07:04:002321

265色VGA显示实验

VGA接口共有15针,分成3排,每排5个孔,显卡上应用最为广泛的接口类型,绝大多数显卡都带有此种接口。它传输红、绿、蓝模拟信号以及同步信号(水平和垂直信号)。
2019-11-15 07:06:001593

VGA字符显示实验

VGA接口就是显卡上输出模拟信号的接口,VGA(Video Graphics Array)接口,也叫D-Sub接口。虽然液晶显示器可以直接接收数字信号,但很多低端产品为了与VGA接口显卡相匹配,因而
2019-11-15 07:09:003321

采用FPGAVGA图形控制器的Verilog设计方法

VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。依据VGA显示原理,介绍了利用FPGA实现对VGA图形控制器的Verilog设计方法。详细描述了各硬件模块的工作原理及实现途径,并给出了软件设计思路。
2019-06-29 10:05:304795

VGA显示接口基础知识详解

VGA显示接口是显卡上输出模拟信号的接口,也叫D-Sub接口。VGA接口是一种D型接口,共有15针孔,分成三排,每排五个。
2020-07-15 14:51:514910

基于叁芯智能科技的SANXIN -B01 FPGA开发板的VGA协议驱动设计

VGA协议驱动设计 作者:郝旭帅校对:陆辉 本篇实现基于叁芯智能科技的SANXIN -B01 FPGA开发板,以下为配套的教程,如有入手开发板,可以登录官方淘宝店购买,还有配套的学习视频
2020-09-28 11:49:102276

使用FPGA CPLD的VGA显示8种颜色的程序和工程文件

本文档的主要内容详细介绍的是使用FPGA CPLD的VGA显示8种颜色的程序和工程文件免费下载。
2020-10-30 17:02:508

FPGA VGA实现显示设计资料和程序说明

1、VGA 简要介绍显示绘图阵列( video graphic array,VGA )接口是LCD 液晶显示设备的标准接口,大多应用在显示器与显卡之间, 同时还可以用在等离子电视输入图像的模数转换
2020-11-03 16:31:0020

vga接口的引脚定义

VGA端子(VideoGraphicsArray(VGA)connector),(其他名字RGB端子,D-sub15,或miniD15)是一种3排共15针的DE-15。VGA端子通常在电脑的显卡、显示器及其他设备。是用作发送模拟信号。
2020-11-09 10:08:277169

永擎推出M.2的显卡,支持1080P VGA输出

M.2接口相信多数人已经不陌生,之所以熟悉也与SSD有关。当然,M.2形式的网卡也有一些,在迷你机上比较常见,可扩展出Wi-Fi、蓝牙信号等。 那么,M.2的显卡见过没? 日前,华擎旗下的企业产品
2020-12-08 15:25:363374

VGA驱动及实现的教程资料免费下载

VGA接口就是显卡上输出模拟信号的接口,VGA(Video Graphics Array)接口,也叫D-Sub接口。虽然液晶显示器可以直接接收数字信号,但为了兼容性,大多数液晶显示器也配备了VGA接口。 VGA是IBM在1987年随PS/2机一起推出的一种视频传输标准,
2021-01-19 15:23:0018

如何使用FPGA实现VGA图像控制器的设计论文免费下载

依据VGA显示原理。利用VHDL作为设计语言.设计了一种基于现场可编程器件FPGAVGA多图像控制器,并在硬件平台上实现设计目标。与传统的设计相比,增加了图像模式的选择,便于嵌入式系统应用扩展。使用FPGA代替VGA的专用显示芯片,可以提高数据处理速度,节约硬件成本。
2021-01-29 15:27:5120

主流显卡选择DP还是HDMI接口好?

显卡市场,VGA和DVI已经悄然退出历史舞台,Type-C仍然属于少数,DP和HDMI已经成为主流显卡的标准配置。
2021-02-03 17:24:3957759

基于FPGA的多图片动态显示VGA系统

本文使用FPGA芯片,在 QuartusⅡI工作平台下,利用 Verilog硬件描述语言,实现了VGA多幅图片动态彩色显示系统的设计。设计中将VGA显示的同步控制、图像显示地址、像素存储单元
2021-06-01 10:08:0927

vga接口是什么?有什么用?

vga接口是什么?有什么用?VGA全称为Video Graphics Array,也就是视频图形阵列,VGA是1987年的时候IBM提出的一个使用模拟信号的电脑显示标准。VGA接口是显卡上用得最多
2021-07-01 14:59:4332888

FPGA、MCU(stm32&51)驱动VGA显示器_电阻分压法硬件设计_VGA电阻网络分压

了,集成度很高,集成好了的东西一般都有成型的驱动模块,比如ADV7123:太贵了,算了算了。这里VGAFPGA(stm32或51)的连接采用一种结构更简单的方法,...
2021-11-05 18:05:5913

FPGA-VGA的实现资料合集

FPGA-VGA的实现资料合集
2022-01-05 15:34:1519

XILINX FPGA LCD至VGA转换器资料(含电路图)

XILINX FPGA LCD至VGA转换器资料(含电路图)
2022-01-25 10:28:015

FPGA打造新增长点.zip

FPGA打造新增长点
2023-01-13 09:06:180

华硕打造的全球首款自带M.2 SSD的外置显卡公布!

华硕打造了全球首款自带M.2 SSD插槽的游戏显卡,壹号本则带来了全球首款自带M.2 SSD插槽的外置显卡——OneXGPU。
2023-11-27 09:56:27370

已全部加载完成