电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>接口/总线/驱动>ST-BUS总线接口模块的Verilog HDL设计

ST-BUS总线接口模块的Verilog HDL设计

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Verilog HDL 华为入门教程

本帖最后由 lee_st 于 2017-10-31 08:47 编辑 Verilog HDL 华为入门教程
2017-10-21 20:50:36

Verilog HDL代码书写规范

本帖最后由 lee_st 于 2017-10-31 08:46 编辑 Verilog HDL代码书写规范
2017-10-21 20:53:14

Verilog HDL入门教程

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 Verilog HDL 基本语法
2017-12-08 14:39:50

Verilog HDL入门教程(全集)

组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模语言。此外,Verilog HDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间从设计外部访问设计,包括模拟
2020-11-30 19:03:38

Verilog HDL学习资料

Verilog HDL学习资料
2012-08-01 14:53:28

Verilog HDL教程

Verilog HDL教程,供大家参考。
2013-07-18 17:11:36

Verilog HDL教程(共172页pdf电子书下载)

。 • Verilog HDL语言的描述能力能够通过使用编程语言接口( P L I)机制进一步扩展。P L I是允许外部函数访问Verilog 模块内信息、允许设计者与模拟器交互的例程集合。 • 设计能够在多个
2018-07-03 05:19:30

Verilog HDL的历史及设计流程是什么

Verilog HDL的历史及设计流程是什么
2021-05-06 08:52:01

Verilog HDL的基本语法

Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型。Verilog HDL既是一种行为描述的语言也是一种结构描述
2019-09-06 09:14:16

Verilog HDL的基本语法

Verilog HDL的基本语法 .pdf
2012-08-15 15:06:11

Verilog HDL详细资料合集!

本合集资料包括:1.Verilog HDL程序设计实例详解2.Verilog HDL经典教程3.Verilog HDL实验练习与语法手册4.Verilog HDL硬件描述语言
2020-08-21 10:06:20

Verilog HDL语法

Verilog HDL语法,要的拿
2016-01-24 22:53:48

Verilog HDL语言是什么

嵌入式开发Verilog教程(二)——Verilog HDL设计方法概述前言一、Verilog HDL语言简介1.1 Verilog HDL语言是什么1.2前言在数字逻辑设计领域,迫切需要一种共同
2021-11-08 09:30:31

Verilog HDL语言有什么优越性

Verilog HDL语言有什么优越性Verilog HDL语言在FPGA/CPLD开发中的应用
2021-04-23 07:02:03

Verilog HDL语言编程基础与FPGA常用开发工具

关键字:Altera 、FPGA、软硬件协调设计(Verilog & C)、CPU、总线、外设FPGA硬件结构知识Verilog HDL语言编程基础FPGA常用开发工具 SOPC硬件系统开发SOPC软件系统开发Avalon总线规范Nios II外设及其编程 七段数码管时钟...
2021-12-22 08:06:06

Verilog HDL高级数字设计2

本帖最后由 lee_st 于 2017-11-30 12:35 编辑 讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:33:55

Verilog HDL高级数字设计5

本帖最后由 lee_st 于 2017-11-30 12:42 编辑 讲授内容EDA设计方法学;Verilog HDL语言;数字电路与系统设计;高级描述语言及工具使用;
2017-11-30 12:41:35

[资料]verilog hdl教程

verilog hdl教程
2014-04-22 16:10:18

【FPGA学习】Verilog HDL有哪些特点

HDL 语言的描述能力能够通过使用编程语言接口(Programme LanguageInterface,简称 PLI)机制进一步扩展,PLI 允许外部函数访问 Verilog 模块内信息、允许设计者
2018-09-18 09:33:31

基于Verilog HDL的DDS设计与仿真

基于Verilog HDL的DDS设计与仿真
2012-08-19 23:15:05

基于verilog HDL的高速对数运算模块设计

跪求各位大神有没有基于verilog HDL的高速对数运算模块设计的CORD IC算法实现自然对数运算的代码
2016-03-10 12:39:28

基于verilog hdl的数字系统应用该如何去设计?

什么是数字系统?verilog hdl又是什么?基于verilog hdl的数字系统应用该如何去设计?
2021-06-21 06:54:02

如何用Verilog HDL语言描述D型主从触发器模块

Verilog模型有哪几种?Verilog HDL模型是由哪些模块构成的?如何用Verilog HDL语言描述D型主从触发器模块
2021-10-19 08:36:32

求一个avalon总线和CY7C68013A的接口模块verilog代码

求一个avalon总线和CY7C68013A的接口转换模块verilog代码
2014-05-15 13:06:30

Verilog HDL Synthesis (A Pract

Verilog HDL Synthesis (A Practical Primer)
2009-02-12 09:36:2440

基于Verilog-HDL的轴承振动噪声电压峰值检测

介绍模拟峰值电压的检测方式,叙述基于Verilog-HDL 与高速A/D转换器相结合所实现的数字式快速轴承噪声检测方法, 给出相关的Verilog-HDL模块部分。
2009-04-16 10:53:0222

I2C总线串行数据接口Verilog 实现

本文介绍了I2C总线规范,并根据该规范对I2C进行模块化设计,用Verilog HDL 语言对每个模块进行具体描述,并通过模块之间的调用,基本实现了I2C的主机从机的发送和接收功能。
2009-06-15 10:44:03144

Verilog HDL综合实用教程

Verilog HDL 综合实用教程第1章 基础知识第2章 从Verilog结构到逻辑门第3章 建模示例第4章 模型的优化第5章 验证附录A 可综合的语言结构附录B 通用库
2009-07-20 11:21:1386

基于Verilog HDL的I2C总线分析器

提出了采用Verilog HDL 设计I2C 总线分析器的方法,该I2C 总线分析器支持三种不同的工作模式:被动、主机和从机模式,并提供了嵌入式系统设计接口。通过硬件总体框架分析,分
2009-08-10 15:32:1840

基于Verilog HDL语言的FPGA设计

采用 Verilog HDL 语言在Altera 公司的FPGA 芯片上实现了RISC_CPU 的关键部件状态控制器的设计,以及在与其它各种数字逻辑设计方法的比较下,显示出使用Verilog HDL语言的优越性.关键词
2009-08-21 10:50:0569

Verilog HDL实现I2C总线功能

简述了I2C总线的特点;介绍了开发FPGA时I2C总线模块的设计思想;给出并解释了用Verilog HDL实现部分I2C总线功能的程序,以及I2C总线主从模式下的仿真时序图。
2009-10-19 10:49:16104

Verilog-HDL实践与应用系统设计

Verilog-HDL实践与应用系统设计本书从实用的角度介绍了硬件描述语言Verilog-HDL。通过动手实践,体验Verilog-HDL的语法结构、功能等内涵。在前五章,以简单的实例列举了Verilog-HDL的用法;
2009-11-14 22:57:40146

基于Wishbone片上总线的IP核的互联

以 FPGA 技术为基础,以Verilog HDL 为载体,设计了遵守Wishbone 片上总线规范的IP 核接口,实现了片上系统的IP 核互联。
2010-01-13 15:09:1413

Verilog hdl教程实例

Verilog hdl教程实例 【例 3.2】4 位计数器module count4(out,reset,clk);output[3:0] out;input reset,clk;reg
2010-02-09 09:41:0154

Verilog HDL华为入门教程

Verilog HDL 华为入门教程 本文主要介绍了Verilog HDL 语言的一些基本知识,目的是使初学者能够迅速掌握HDL设计方法,初步了解并掌握Verilog HDL语言的基本要素,能
2010-02-11 08:35:38140

Verilog HDL入门教程(华为绝密资料)

Verilog HDL入门教程(华为绝密资料) 本文主要介绍了Verilog HDL 语言的一些基本知识,目的是使初学者能够迅速掌握HDL设计方法,初步了解并掌握Verilog HDL语言的
2010-04-02 11:52:210

基于Avalon-ST接口帧读取IP核的研究应用

研究基于Avalon-ST接口帧读取的IP核设计应用,通过Avalon-ST接口将外部存储中不同格式的帧数据转化为视频流进行输出。根据Avalon总线协议及Avalon-ST视频协议研究设计方案,使用Verilog H
2010-08-12 15:58:320

Verilog HDL练习题

Verilog HDL练习题
2010-11-03 16:47:13193

什么是Verilog HDL

什么是Verilog HDLVerilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统
2009-01-18 14:53:263678

Verilog HDL语言在FPGA/CPLD开发中的应用

摘 要:通过设计实例详细介绍了用Verilog HDL语言开发FPGA/CPLD的方法,并通过与其他各种输入方式的比较,显示出使用Verilog HDL语言的优越性。
2009-06-20 11:51:281857

Verilog HDL实现I2C总线功能

摘要: 简述了I2C总线的特点;介绍了开发FPGA时I2C总线模块的设计思想;给出并解释了用Verilog HDL实现部分I2C总线功能的程序,以及I2C总线主从模式下的仿真时序图
2009-06-20 13:17:086620

基于Verilog-HDL的轴承振动噪声电压峰值检测

摘要:介绍模拟峰值电压的检测方式,叙述基于Verilog-HDL与高速A/D转换器相结合所实现的数字式快速轴承噪声检测方法,给出相关的Verilog-HDL模块部分。
2009-06-20 15:14:00867

Verilog HDL程序基本结构与程序入门

Verilog HDL程序基本结构与程序入门 Verilog HDL程序基本结构  Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的
2010-02-08 11:43:302185

Verilog HDL语言简介

Verilog HDL语言简介 1.什么是Verilog HDLVerilog HDL是硬件描述语言的一种,用于数
2010-02-09 08:59:333609

VHDL和Verilog HDL语言对比

VHDL和Verilog HDL语言对比 Verilog HDL和VHDL都是用于逻辑设计的硬件描述语言,并且都已成为IEEE标准。VHDL是在1987年成为IEEE标准,Verilog HDL
2010-02-09 09:01:1710317

Verilog HDL与VHDL及FPGA的比较分析

Verilog HDL与VHDL及FPGA的比较分析. Verilog HDL优点:类似C语言,上手容易,灵活。大小写敏感。在写激励和建模方面有优势。
2011-01-11 10:45:291181

基于WISHBONE总线的FLASH闪存接口设计

本文简要介绍了AMD 公司Am29LV160D 芯片的特点,并对WISHBONE总线作了简单的介绍,详细说明了FLASH memory 与WISHBONE 总线的硬件接口设计及部分Verilog HDL 程序源代码。
2011-06-23 16:32:4018

Verilog HDL程序设计教程_王金明

Verilog HDL 程序设计教程》对Verilog HDL程序设计作了系统全面的介绍,以可综合的设计为重点,同时对仿真和模拟也作了深入的阐述。《Verilog HDL 程序设计教程》以Verilog-1995标准为基础
2011-09-22 15:53:360

应用Verilog HDL进行数字系统设计实例

本内容介绍了应用Verilog HDL进行数字系统设计实例
2011-09-27 16:30:2987

基于FPGA的SDX总线与Wishbone总线接口设计

介绍了基于硬件描述语言Verilog HDL设计的SDX总线与Wishbone总线接口转化的设计与实现,并通过Modelsim进行功能仿真,在QuartusⅡ软件平台上综合,最终在Altera公司的CycloneⅢ系列FPGA上调试。实验
2012-01-11 10:21:2125

基于CPLD的ST-BUS总线收发模块设计

文中所述模块的电路设计采用了先进的可编程器件来实现,便于多种接口的混合接入。鉴于接口种类及标准繁多,文中主要讨论外部接口ST-BUS总线通信所需公共模块(即ST-BUS收发模块
2012-02-03 14:47:032604

基于Verilog HDL语言的CAN总线控制器设计及验证

在此利用Verilog HDL设计了一款CAN总线控制器,首先根据协议把整个CAN总线控制器划分为接口逻辑管理、寄存器逻辑和CAN核心模块3个模块,然后用Verilog HDL硬件描述语言设计了各个功能模块
2012-07-31 14:25:247806

Verilog_HDL的基本语法详解(夏宇闻版)

Verilog_HDL的基本语法详解(夏宇闻版):Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型。Verilog HDL既是一种行为描述的语言也是一种结
2012-10-08 14:48:310

基本组合逻辑功能双向管脚的Verilog HDL源代码

电子发烧友网核心提示: 本例程是Verilog HDL源代码:关于基本组合逻辑功能中双向管脚的功能实现源代码。 Verilog HDL: Bidirectional Pin This example implements a clocked bidirectional pin in Verilog HDL.
2012-10-15 11:28:261525

设计与验证Verilog HDL(吴继华)

本书以实例讲解的方式对HDL语言的设计方法进行介绍。全书共分9章,第1章至第3章主要介绍了Verilog HDL语言的基本概念、设计流程、语法及建模方式等。
2012-11-28 13:32:57943

Verilog HDL 数字设计教程(贺敬凯)

Verilog HDL 数字设计教程【作者:贺敬凯;出版社:西安电子科技大学出版社】(本资料为ppt) 内容简介:介绍了Verilog HDL语言,状态机设计,仿真,还有好几个可综合设计的举例,除了
2012-11-28 13:43:11489

Verilog HDL程序设计与实践

Verilog HDL程序设计与实践着重介绍了Verilog HDL语言
2015-10-29 14:45:4721

Verilog HDL程序设计-135例

verilog HDL基础程序135例,适合初学者。
2015-11-06 09:49:4623

Verilog HDL数字设计与综合课件(第二版)

介绍Verilog HDL数字设计与综合的课件
2015-12-23 10:58:540

Verilog HDL硬件描述语言

Verilog HDL硬件描述语言 有需要的下来看看
2015-12-29 15:31:270

Verilog HDL硬件描述语言简介

本章介绍Verilog HDL语言的发展历史和它的主要能力。verilog相关教程材料,有兴趣的同学可以下载学习
2016-04-25 16:09:3214

Verilog HDL程序设计教程

Verilog HDL程序设计教程-人邮
2016-05-11 11:30:1934

Verilog HDL实验练习与语法手册

Verilog HDL实验练习与语法手册-高教
2016-05-11 11:30:190

Verilog_HDL教程

Verilog_HDL教程,又需要的朋友下来看看
2016-05-11 17:30:150

Verilog+HDL实用教程-电科

Verilog+HDL实用教程-电科,下来看看。
2016-05-11 17:30:1534

_Verilog_HDL的基本语法

Verilog_HDL语言的学习,为FPGA编程打下坚实的基础
2016-05-19 16:40:5212

Verilog HDL应用程序设计实例精讲

Verilog HDL应用程序设计实例精讲。
2016-05-20 11:16:3590

Verilog HDL应用程序设计实例精讲

Verilog HDL应用程序设计实例精讲
2016-05-20 11:16:35284

Verilog HDL 华为入门教程

Verilog HDL 华为入门教程
2016-06-03 16:57:5345

Verilog HDL入门教程

本文主要介绍了Verilog HDL 语言的一些基本知识,目的是使初学者能够迅速掌握HDL设计方法,初步了解并掌握Verilog HDL语言的基本要素,能够读懂简单的设计代码并能够进行一些简单设计的Verilog HDL建模。
2016-07-15 15:27:000

Verilog HDL数字设计与综合_夏宇闻译(第二版)

verilog HDL,fpga,硬件电路学习资料
2016-09-01 14:55:490

设计与验证:Verilog HDL(清晰PDF)

设计与验证,很不错的一本书,《设计与验证》以实例讲解的方式对HDL语言的设计方法进行介绍。全书共分9章,第1章至第3章主要介绍了Verilog HDL语言的基本概念、设计流程、语法及建模方式等内容
2016-10-10 17:04:40566

Verilog HDL设计(进阶)

Verilog HDL设计(进阶),感兴趣的小伙伴们可以瞧一瞧。
2016-11-10 15:29:3615

Verilog HDL设计(入门)

Verilog HDL设计(入门),感兴趣的小伙伴们可以瞧一瞧。
2016-11-10 15:29:3623

Verilog HDL设计(提高)

Verilog HDL设计(提高),感兴趣的小伙伴们可以瞧一瞧。
2016-11-10 15:29:3615

Verilog HDL虚拟器件和虚拟接口模型

Verilog HDL虚拟器件和虚拟接口模型
2017-02-07 18:25:214

基于FPGA Verilog-HDL语言的串口设计

基于FPGA Verilog-HDL语言的串口设计
2017-02-16 00:08:5935

Verilog HDL入门教程之Verilog HDL数字系统设计教程

本文档的主要内容详细介绍的是Verilog HDL入门教程之Verilog HDL数字系统设计教程。
2018-09-20 15:51:2680

如何设计常用模块Verilog HDL

本文档的主要内容详细介绍的是常用模块Verilog HDL设计详细资料免费下载。
2018-10-16 11:12:5420

Verilog HDL入门教程

本文主要介绍了Verilog HDL 语言的一些基本知识,目的是使初学者能够迅速掌握HDL 设计方法,初步了解并掌握Verilog HDL语言的基本要素,能够读懂简单的设计代码并能够进行一些简单设计的Verilog HDL建模。
2019-02-11 08:00:0095

Verilog语法基础

Verilog HDL是一种用于数字系统设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型也称为模块Verilog HDL既是一种行为描述的语言也是一种结构描述的语言。
2019-03-08 14:29:1212094

Verilog HDL的基础知识详细说明

硬件描述语言基本语法和实践 (1)VHDL 和Verilog HDL的各自特点和应用范围 (2)Verilog HDL基本结构语言要素与语法规则 (3) Verilog HDL组合逻辑语句结构
2019-07-03 17:36:0053

实现Verilog HDL模块化程序设计的详细资料说明

HDL和VHDL的使用比率大概是80%和20%,在中国,大多数电子行业企业都采用Verilog。而模块化的设计让Verilog HDL语言具有思路清晰、逻辑关系明确、可读性强等特点,模块化的设计在 Verilog HDL语法设计中也成为主流。
2020-03-25 08:00:004

Verilog HDL和VHDL的区别

Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 Verilog HDL
2020-06-17 16:13:1112911

使用Verilog实现SPI串行总线接口的资料和源代码免费下载

集成电路设计越来越向系统级的方向发展,并且越来越强调模块化的设计。SPI(Serial Peripheral Bus总线是Motorola公司提出的一个同步串行外设接口,容许CPU 与各种外围接口
2020-08-19 08:00:009

Verilog HDL语言技术要点

HDL语言具有大量成熟的模块,从某种角度说Verilog HDL更具生命力。 本文整理了一下Verilog HDL语言技术要点,并分享给大家。如发现有错误,欢迎留言指正。
2020-09-01 11:47:094002

Verilog教程之Verilog HDL程序设计语句和描述方式

本文档的主要内容详细介绍的是Verilog教程之Verilog HDL程序设计语句和描述方式。
2020-12-09 11:24:2346

Verilog HDL教程135例免费下载

本文档的主要内容详细介绍的是Verilog HDL教程135例免费下载。
2021-01-21 16:30:5353

如何使用Verilog HDL描述可综合电路?

电路“胸有成竹”; 牢记可综合Verilog HDL与电路结构一一对应的关系; 确认电路指标是什么:性能?面积? 硬件思维方式,代码不再是一行行的代码而是一块一块的硬件模块; 达到以上几点,就可以确保写出行云流水般的高质量代码。 关于代码与硬件电路的对应关系,参见如下图
2021-04-04 11:19:003838

Verilog HDL基础语法入门

简单介绍Verilog HDL语言和仿真工具。
2021-05-06 16:17:10617

基于SPI串行总线接口Verilog实现

简 介: 集成电路设计越来越向系统级的方向发展,并且越来越强调模块化的设计。SPI(Serial Peripheral Bus总线是Motorola公司提出的一个同步串行外设接口,容许CPU
2021-05-29 10:16:264456

Verilog HDL verilog hdl和vhdl的区别

Verilog HDL是一种以文本形式描述数字系统硬件的结构和行为的硬件描述语言,也可描述逻辑电路图、逻辑表达式等。Verilog HDL和VHDL是目前主流的、最受欢迎的两种硬件描述语言。
2021-07-23 14:36:559910

Verilog HDL入门教程.pdf

Verilog HDL入门教程.pdf
2021-11-02 16:27:14108

Verilog HDL入门教程-Verilog HDL的基本语法

Verilog HDL入门教程-Verilog HDL的基本语法
2022-01-07 09:23:42159

Verilog HDL语言的一些基本知识

Verilog HDL 入门教程
2022-08-08 14:36:225

Verilog HDL指定用户定义原语UDP的能力

在前一章中,我们介绍了Verilog HDL提供的内置基本门。本章讲述Verilog HDL指定用户定义原语U D P的能力。
2022-08-08 11:46:46733

二十进制编码器及Verilog HDL描述 Verilog HDL程序的基本结构及特点

节通过硬件描述语言Verilog HDL对二十进制编码器的描述,介绍Verilog HDL程序的基本结构及特点。
2023-08-28 09:54:341116

已全部加载完成