0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado编译常见错误与关键警告梳理与解析

FPGA技术实战 来源:FPGA技术实战 2024-04-15 11:38 次阅读

引言:本文对Vivado编译时常见的错误或者关键警告做一些梳理汇总,便于日后归纳总结。

1. 普通IO引脚约束为时钟时报错。
原因:Xilinx Vivado开发环境编译HDL时,对时钟信号设置了编译规则,如果时钟由于硬件设计原因分配到了普通IO上,而非_SRCC或者_MRCC专用时钟管脚上时,编译器就会提示错误。
措施:可在XDC引脚约束中添加一条语句:
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets adc_clk]
2. [Synth 8-1751] cannot index into non-array adc_data。
原因:数据位宽不对,定义数据时定义了一位位宽,实际需要16位位宽。
措施:修改位宽为16位。
3. [Synth 8-2715] syntax error near。
原因:此类错误大多是语法问题,如逗号,括号,冒号之类。
措施:根据错误信息提示,定位到错误的行数,仔细查看是否存在上述问题。
4. [Synth 8-3352] multi-driven net Q with 2nd driver pin 'GND。
原因:信号被多处驱动,在多个 always 语句块中被赋值。
措施:程序设计应避免此类情况的发生,此时应该考虑重新设计程序。
5. [Opt 31-67] Problem: A LUT2 cell in the design is missing a connection on input pin I0, which is used by the LUT equation. This pin has either been left unconnected in the design or the connection was removed due to the trimming of unused logic. The LUT cell name is: logic_[0]_i_1
原因:某个input没有上层数据的输入。
措施:set_property DONT_TOUCH true [get_cells ]。
6. [Synth 8-3352] multi-driven net count[9] with 2nd driver pin 'cnt_reg[9]/Q'
原因:在程序设计过程中出现了变量的重复定义。
措施:把重复定义的变量去除即可。
7. [Synth 8-1031] cnt is not declared
原因:信号没有被声明,多出现在中间信号。
措施:给中间信号添加声明,如 reg、wire。
8. The debug port ‘u_ila_0/probe4’ has 1 unconnected channels (bits). This will cause errors during implementation。
原因:使用ILA时常见的错误,意思是有一些接口没有连接。
措施:检查ILA的例化,1)是不是全部的probe都连到了信号上。
2)每一个probe和连接的信号位宽是否一致,不一致的话也会出现这个错误。
9.[Synth 8-2576] procedural assignment to a non-register data is not permitted。
原因:信号没有被声明,多出在输出信号下。
措施:信号在 always 语句块中,被赋值的信号应该添加类型 reg。
10. [Common 17-680] Path length exceeds 260-Byte maximum allowed by Windows。
原因:文件路径太长。

措施:缩短工程路径。
11. [Synth 8-7023] instance 'u_count' of module 'count' has 7 connections declared, but only 6 given。
原因:信号位宽多余。
措施:给与信号正确的位宽,或不管也可以,Vivado 会自动优化多余的位线。
12. ordered port connections cannot be mixed with named port connections。
原因:语法错误。
措施:例化模块时符号错误,检查 “.” 和 “,” 有没有多和少,最后例化的参数后面没有 “,”。
13. [Common 17-39] 'open_hw_target' failed due to earlier errors。
原因:无法找到下载链。
措施:查看下载器连接,连接是否牢固,或开发板是否上电。
14. [[DRC BIVC-1] the following port in this bank have conflicting VCCOs: sys_clk (LVCMOS18,requiring VCCO=1.800)。
原因:管脚未做约束。
措施:可以在XDC约束文件中加入时钟约束:set_property IOSTANDARD LVCMOS33 [get_ports sys_clk] set_property PACKAGE_PIN R4 [get_ports sys_clk]。
15. [Common 17-55] 'set_property' expects at least one object。
原因:XDC约束文件中存在一个无用的管脚约束,可能是上个设计遗留或者疏忽造成。
措施:删除该管脚约束即可。
16. [Opt 31-67] Problem: A LUT4 cell in the design is missing a connection on input pin l1, which is used by the LUT equatoin. This pin has either been left unconnected in the design or the connection was removed due to the trimming of unused。
原因:存在port没有连接。
措施:error会指出出问题cell的path,去追一下就可以了,一般来说不用追到最底层,在前面几级就能发现有些port没连。

审核编辑:黄飞

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Xilinx
    +关注

    关注

    70

    文章

    2121

    浏览量

    119388
  • 编译器
    +关注

    关注

    1

    文章

    1577

    浏览量

    48628
  • 时钟信号
    +关注

    关注

    4

    文章

    374

    浏览量

    28084
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65107

原文标题:Vivado编译常见错误合集(一)

文章出处:【微信号:FPGA技术实战,微信公众号:FPGA技术实战】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Vivado 实现

    先给大家简单快速地介绍一下 Vivado 集成设计环境,即 IDE。当打开 Vivado 工程后,会有一个工程概要,向您介绍工程的设置、警告错误信息以及工程的一般状态。
    发表于 04-25 09:00 6435次阅读

    VIVADO软件使用问题总结

    关键问题!!!!重要!!!】VIVADO会在MESSAGE窗口出提示很多错误警告信息!
    的头像 发表于 12-15 10:11 858次阅读
    <b class='flag-5'>VIVADO</b>软件使用问题总结

    keil常见错误警告分析

    keil常见错误警告分析
    发表于 08-19 09:38

    Keil C编译常见警告错误信息的解决方法

    Keil C编译常见警告错误信息的解决方法
    发表于 08-20 14:12

    Keil常见错误警告

    Keil常见错误警告,大家看看有用的
    发表于 08-29 17:35

    编译中常出现的7个警告错误

    我们使用软件编译工程时,经常会遇到这样或者那样的警告或者错误,这边提供的常见的7个警告和7个错误
    发表于 01-26 21:27

    keil编辑器的常见警告错误信息解决方法PDF下载

    好东西···Keil C编译常见警告错误信息的解决方法.pdf 2015-7-25 12:15 上传 点击文件名下载附件 152.25 KB, 下载次数: 12
    发表于 07-19 06:13

    运行vivado时出现错误消息

    嗨,用户报告在运行vivado时看到以下错误消息。请帮忙。命令:write_bitstream -force ./vivado/pm14100_pvexpress_wrapper_0.bit尝试获取
    发表于 12-25 11:00

    常见stm32编译错误解决方案

    常见stm32编译错误解决方案,用处多多与大家分享
    发表于 12-01 18:16 3次下载

    Keil常见错误警告

    该文档详细介绍了在Keil编译环境下的常见错误警告,及其解决方法
    发表于 08-29 14:22 4次下载

    Keil常见错误警告

    Keil常见错误警告,感兴趣的小伙伴们可以瞧一瞧。
    发表于 11-17 11:43 0次下载

    常见gcc编译警告整理以及解决方法

     GCC有很多的编译选项,警告选项;指定头文件、库路径;优化选项。本文针整理一下GCC的警告选项以及gcc编译警告整理和解决方法为中心而展开
    发表于 11-14 11:19 2w次阅读

    KEIL C编译器中常见警告错误信息的详细解决办法资料概述

    本文档的主要内容详细介绍的是KEIL C编译器中常见警告错误信息的详细解决办法资料概述。
    发表于 11-07 17:43 14次下载

    keil中常见的各种错误警告以及相应的解决方法资料说明

    本文档的主要内容详细介绍的是简单整理的keil中常见的各种错误警告以及相应的解决方法。
    发表于 03-08 08:00 14次下载
    keil中<b class='flag-5'>常见</b>的各种<b class='flag-5'>错误</b><b class='flag-5'>警告</b>以及相应的解决方法资料说明

    Keil编译常见错误详细说明

    本文档的主要内容详细介绍的是Keil编译常见错误详细说明。
    发表于 09-30 17:28 21次下载
    Keil<b class='flag-5'>编译</b>的<b class='flag-5'>常见</b><b class='flag-5'>错误</b>详细说明