0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

简单的Voltus功耗分析

星星科技指导员 来源:eetop 作者:eetop 2023-12-15 11:45 次阅读

数字电路到了一定规模,把数字电路网表转成原理图,通过跑Spectre/Ultramsim仿真来获得功耗就变得mission impossible了。新的运算工具应运而生。Voltus的前生是EPS (Encounter Power System),是一款非常优秀的功耗分析工具。

数字电路功耗包括下面三个部分。

1. Switching power

这部分功耗来自各个标准元之间的连线interconnect的充放电。描述这部分功耗的经典公式是1624199572499159.png。这里F代表频率,A代表average switching activity。

从这个经典公式可以衍生出有趣的问题?如何降低switching power呢?通常CL在前端设计中无法控制。电路的F是设计指标决定的,也改不了。前端设计能改变的只有A。这时前端设计中引入clock gating就很有必要了。当然,有些使用场景可以降低V,但实现起来有些困难的,需要供电电路能配合,需要库在不同电压下characterize。总体来说,降低A是前端设计最直接最有效的降低switching power的方法。

2. Internal power

这部分是标准元内部由switching造成的功耗。工具根据.lib里的数据计算这部分功耗。下面是一个AND gate的例子。

输入A变化引起的功耗。工具根据输入A的transition time查询rise_power, fall_power表。这个例子简化了一些。通常这两个表中的数据多几个,工具插值计算更精确一些。

1624199600526214.png

输出Y的变化可以是输入A变化引起的,也可以是输入B变化引起的。Y的internal power相对复杂一些。这里rise_power,fall_power的表是二维的。工具根据相关输入的input_transition_time,Y输出的total_output_capacitance来查询这几个表。

1624199629540537.png

标准元的internal power本质上依然是电路中各个节点的switching引起的。设计中尽量降低各个节点的switching可以有效地降低相联标准元地internal power。

3. Leakage power

这部分就是常说的漏电。即使电路处于静止状态,没有时钟,没有任何switching,这部分功耗依然存在。在低功耗待机状态下,如何降低漏电功耗是设计挑战。

工具计算漏电功耗也是根据.lib中的数据。下面是一个AND gate例子。这里可以看到leakage power是和A,B输入的状态有关的。A,B同时为高电平时leakage power最大,43.7371。如果A,B电平无法确定,工具取平均值,即第二个框里的33.4556。

1624199679212717.png

Voltus虽然有几个工作模式,但各个模式下功耗的算法依然遵循上面分的三类。各个模式的区别只是设置而已。

Voltus static power

Voltus static power本质上算的是电路的平均功耗。

Voltus static power要求用户提供各个输入的activity。工具根据用户提供的activity,加上SDC文件内时钟的定义,就可以推算出内部各个节点的activity,再配合SPEF文件内各个连线的RC,就可以算出这个电路的switching power。同时,工具也知道内部每个标准元的输入输出特性,各个标准元的internal power也就可以算出来了。

Voltus static power理论上可以算得蛮准的,但实际操作上是有陷阱的。如果用户提供的activity不准,计算的结果就偏离了。很多时候,默认的activity 0.1可能过于悲观,造成static power也过于悲观。

Voltus dynamic power

Voltus dynamic power本质上是计算瞬时峰值功耗,分两种方法,vectorless或vector-driven。Vectorless估算每个节点的activity,意义不大,这里就略过了。Vector-driven采用VCD标注内部各个节点的activity。VCD来自于后仿。VCD中每个节点的activity和实际电路时一一对应的。显然,使用VCD的dynamic power可以算得很准。通常VCD文件可以记录整个电路完整的运行过程。但对于峰值功耗,通常只需要取VCD中的一小段时间。这里需要注意的是,指定的这一小段时间必须对应的是activity最集中的时间段。如果自己是电路的设计者,应该知道哪一段时间activity最多。另一个方法是先取比较长的一段时间跑一次dynamic power,在Voltus里调用Simvision打印出VDD电流,根据VDD电流波形选择峰值时间段。随后在这个缩短的时间段再跑一下dynamic power,生成power database,供后续的IR drop分析使用。

这里引申出一个有趣的问题。Voltus dynamic power能否像S家的PTPX一样用来算电路的功耗呢?当然是可以的。功耗基本的算法就是那三类,本质上是一样的。Voltus dynamic power里VCD时间段取得和PTPX功耗分析VCD时间段一样,两者计算出来的结果在2-3%以内。个人认为Voltus dynamic power设置对了,Voltus static power就没必要了,PTPX也可以替代了。这样做有个小小的缺陷。通常算average power需要取比较长的时间段。这样跑Voltus dynamic power生成的power database非常庞大。后续的Voltus IR如果还用这个庞大的power database,基本就跑不动了。解决方法也很简单。就像上面提到的,取缩短的时间段再跑一次dynamic power,生成对应峰值电流的power database,随后用这个小很多的power database跑IR。

审核编辑:黄飞

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 原理图
    +关注

    关注

    1268

    文章

    6180

    浏览量

    225677
  • VCD
    VCD
    +关注

    关注

    0

    文章

    37

    浏览量

    34423
  • 数字电路
    +关注

    关注

    192

    文章

    1396

    浏览量

    79750
  • 前端设计
    +关注

    关注

    0

    文章

    18

    浏览量

    9988
收藏 人收藏

    评论

    相关推荐

    Cadence功耗分析步骤

    Cadence功耗分析首先需生成power grid library
    的头像 发表于 09-06 09:47 996次阅读
    Cadence<b class='flag-5'>功耗</b><b class='flag-5'>分析</b>步骤

    Voltus-Fi定制型电源完整性解决方案

    电源完整性解决方案(Cadence® Voltus™-Fi Custom Power Integrity Solution),具备晶体管级的电迁移和电流电阻压降分析技术(EMIR),获得晶圆厂在电源签收
    发表于 09-30 16:11

    让MCU轻松低功耗的五大方法分析

    功耗是MCU的一项非常重要的指标,比如某些可穿戴的设备,其携带的电量有限,如果整个电路消耗的电量特别大的话,就会经常出现电量不足的情况,影响用户体验。平时我们在做产品的时候,基本的功能实现很简单
    发表于 07-12 06:34

    怎么在设计前期分析芯片的功耗

    如何在设计前期分析芯片的功耗
    发表于 09-03 23:45

    功耗极低的简单离线电源

    极低功耗的离线电源。 NCP1050是此类应用的理想选择,本设计说明介绍了一种功耗极低的简单离线电源。它用于为运动/红外传感器和与之相关的逻辑电路供电
    发表于 04-28 09:39

    对stm32单片机低功耗模式进行分析测试

    stm32单片机低功耗模式共用3中,下来对3中低功耗进行分析测试。先看手册上相关低功耗资料介绍:先来分析睡眠模式:进入睡眠模式比较
    发表于 08-18 08:13

    GPA功耗分析工具的操作方法

    本手册描述高云半导体云源®软件(以下简称云源)功耗分析工具的操作方法。主要介绍工具的使用和功耗报告的分析,旨在帮助用户更加便捷地估算和分析
    发表于 09-29 06:14

    RSA密码芯片简单功耗分析

    利用AT89C52单片机实现了RSA嵌入式加密系统,通过实验得到了一个RSA加密过程的功率轨迹,对功率轨迹进行分析,直接读出密钥。实验结果表明,简单功耗分析对于没有任何防
    发表于 09-02 08:30 12次下载

    Cadence推出Voltus IC 电源完整性解决方案,提供性能卓越的功耗签收

    2013年11月13日——为解决电子开发人员所面临的重要的功耗挑战,Cadence设计系统公司(纳斯达克:CDNS)今天推出Voltus™ IC电源完整性解决方案(Voltus™ IC Power
    发表于 11-13 16:13 1334次阅读

    示波管辉度故障二例的简单分析

    示波管辉度故障二例的简单分析
    发表于 05-05 11:12 8次下载

    Android内核的简单分析

    本文档内容介绍了基于Android内核的简单分析,供参考
    发表于 03-16 14:05 6次下载

    AVR入门: 如何建立简单功耗的应用

    AVR入门建立我们的简单功耗应用
    的头像 发表于 07-08 00:52 3863次阅读

    功耗蓝牙芯片功耗主要来源的简单分析

    无线连接设备对功耗要求高,平衡BLE性能和功耗十分关键。在可穿戴设备、蓝牙位置服务、智能家居、工业物联网等蓝牙新兴应用方向中,这些设备不需要时刻保持运行,只需在被唤醒时,进行数据传输或执行控制,而且
    发表于 06-10 15:14 1362次阅读
    低<b class='flag-5'>功耗</b>蓝牙芯片<b class='flag-5'>功耗</b>主要来源的<b class='flag-5'>简单</b><b class='flag-5'>分析</b>

    功率分析侧信道攻击简介

     了解基于功耗的侧信道攻击的工作原理以及不同类型的功耗分析攻击,包括简单功耗分析 (SPA)、差
    的头像 发表于 04-08 15:31 1381次阅读
    功率<b class='flag-5'>分析</b>侧信道攻击简介

    Cadence推出生成式AI技术产品Voltus InsightAI

    中国上海,2023 年 11 月 8 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布推出新产品 Cadence Voltus InsightAI,这是一款生成式 AI
    的头像 发表于 11-08 10:11 501次阅读