0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

AMD Versal系列CIPS IP核建立示例工程

Comtech FPGA 来源:FPGA FAE技术分享选集 2023-12-05 13:34 次阅读

接着上一篇“AMD Versal系列CIPS IP核介绍”文章来进一步讲解如何来建立CIPS IP核示例工程。

利用CIPS IP核的板卡自动化以及预置功能,生成VCK180 DDRMC基于GUI界面的调试工程。当然该工程亦可以根据AMD官网例程TCL文件来完成。

本文是基于Vivado 2022.1版本进行演示,其他版本界面可能会有细小差异。

Step1 新建工程

工程名字和路径需要字符形式出现,同时路径不能太长。

2991735c-9329-11ee-939d-92fbcf53809c.png

Step2 选定工程板卡

由于我们是做DEMO目的,所以板卡选择Versal Prime系列的VMK180;当然也可以选择其他Versal系列的开发板,或者客户自定义。

29a9e964-9329-11ee-939d-92fbcf53809c.png

Step3 创建Block Design工程

使用Block Design流程可以很方面的增减IP,给设计带来很高的灵活性并节约写代码时间。

29c45812-9329-11ee-939d-92fbcf53809c.png

Step4 加入CIPS IP核

29dd6d84-9329-11ee-939d-92fbcf53809c.png

Step5 运行Automation与预置功能

29f29380-9329-11ee-939d-92fbcf53809c.png

2a15aec4-9329-11ee-939d-92fbcf53809c.png

Step6 生成工程Diagram

Runing Automation可以自动生成CIPS的已定义的接口,同时可以跟其他IP进行互连,避免人工操作。

2a294ea2-9329-11ee-939d-92fbcf53809c.png

2a515442-9329-11ee-939d-92fbcf53809c.png

Step7 设计验证

运行Vaildate Design功能可以检查Block Design设计是否有误;需要把错误全部消除掉才可以进入下面流程。

2a6706f2-9329-11ee-939d-92fbcf53809c.png

2a768bd6-9329-11ee-939d-92fbcf53809c.png

Step8 生成HDL Wrapper

2a8c660e-9329-11ee-939d-92fbcf53809c.png

Step9 生成Device Image

2aadbfb6-9329-11ee-939d-92fbcf53809c.png

2ac5f1b2-9329-11ee-939d-92fbcf53809c.png

2ae2736e-9329-11ee-939d-92fbcf53809c.png

Step10 成功生成Device Image

2afe5f84-9329-11ee-939d-92fbcf53809c.png

2b1f4258-9329-11ee-939d-92fbcf53809c.png

Step11 导出硬件平台

2b4c0720-9329-11ee-939d-92fbcf53809c.png

成功生成xsa文件后,软件工程师就可以使用xsa进行后续软件开发工作。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • amd
    amd
    +关注

    关注

    25

    文章

    5197

    浏览量

    132632
  • 调试
    +关注

    关注

    7

    文章

    527

    浏览量

    33625
  • IP核
    +关注

    关注

    4

    文章

    317

    浏览量

    49041
  • Versal
    +关注

    关注

    1

    文章

    151

    浏览量

    7535

原文标题:AMD Versal系列CIPS IP核建立示例工程

文章出处:【微信号:Comtech FPGA,微信公众号:Comtech FPGA】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    AMD Versal系列CIPS IP核介绍

    AMD自适应计算加速平台(ACAP)是一个完全软件可编程资源集合,这些资源结合在一起构成片上系统 (SoC),包括以下主要的资源块
    的头像 发表于 11-27 14:12 490次阅读
    <b class='flag-5'>AMD</b> <b class='flag-5'>Versal</b><b class='flag-5'>系列</b><b class='flag-5'>CIPS</b> <b class='flag-5'>IP</b>核介绍

    【ALINX 技术分享】AMD Versal AI Edge 自适应计算加速平台之 Versal 介绍(2)

    【ALINX 技术分享】AMD Versal AI Edge 自适应计算加速平台之 Versal 介绍,以及Versal 芯片开发流程的简介。
    的头像 发表于 03-07 16:03 311次阅读
    【ALINX 技术分享】<b class='flag-5'>AMD</b> <b class='flag-5'>Versal</b> AI Edge 自适应计算加速平台之 <b class='flag-5'>Versal</b> 介绍(2)

    在Vivado中构建AMD Versal可扩展嵌入式平台示例设计流程

    为了应对无线波束形成、大规模计算和机器学习推断等新一代应用需求的非线性增长,AMD 开发了一项全新的创新处理技术 AI 引擎,片内集成该AI Engine的FPGA系列Versal™ 自适应计算加速平台 (ACAP) 。
    的头像 发表于 04-09 15:14 420次阅读
    在Vivado中构建<b class='flag-5'>AMD</b> <b class='flag-5'>Versal</b>可扩展嵌入式平台<b class='flag-5'>示例</b>设计流程

    如何仿真IP(建立modelsim仿真库完整解析)

    如何仿真IP(建立modelsim仿真库完整解析)
    发表于 08-15 13:16

    VIP系列IP使用

    大家好,有没有谁比较熟悉ALTERA公司的VIP系列ip,我们用该系列IP中的某些模块(主要
    发表于 04-13 14:12

    vivado带ip工程封装

    请教一下,vivado怎么把带ip工程进行封装,保证代码不可见,可以通过端口调用。我尝试了以下方法,ippackage,如果要在另一个程序里调用,也要提供源代码;另一个方法是将网表文件edf文件与端口声明结合,这种方法只能实
    发表于 07-14 09:18

    如何在我的VHDL顶级模块中使用该IP的一些示例

    作为我项目的一部分,我需要将ADC与7系列FPGA接口,我有一个SelectIO™接口向导的IP。但是,我的整个项目都在VHDL中,IPi得到的是Verilog。请指出我如何在我的VHDL顶级模块中使用该
    发表于 05-21 12:31

    利用设计网关的 IP 内核在 Xilinx VCK190 评估套件上加速人工智能应用

    Versal AI 内核系列 VCK190 评估套件。(图片来源:AMD, Inc)VCK190 评估套件的主要特性板载 Versal AI 核心
    发表于 11-25 16:29

    CIPS 3.0变更日志和移植信息解决方案

    在 Vivado 2021.1 中,Control, Interfaces and Processing System (CIPS) IP 架构已重新设计。此次升级支持将来自其它 Versal
    的头像 发表于 08-02 08:03 685次阅读
    <b class='flag-5'>CIPS</b> 3.0变更日志和移植信息解决方案

    Versal CPM AXI Bridge模式的地址转换

    Versal 系列的 DMA axi bridge 模式可以在 PL 的 QDMA IP 或者在 CPM(The integrated block for PCIe Rev. 4.0
    的头像 发表于 05-10 09:47 977次阅读
    <b class='flag-5'>Versal</b> CPM AXI Bridge模式的地址转换

    如何在Vivado硬件管理器内读取各项监控值?

    在 Vivado 内,以 Versal 器件为目标创建一个示例,此示例将以 VCK190 开发板为目标创建工程。 创建块设计,并将 CIPS
    的头像 发表于 05-17 09:17 2315次阅读
    如何在Vivado硬件管理器内读取各项监控值?

    Versal HBM系列外部参考时钟设计指南文章

    Versal HBM 栈可通过内部 HSM0 参考时钟来进行时钟设置,此参考时钟是由 CIPS 或外部时钟源生成的。
    的头像 发表于 06-05 09:41 462次阅读
    <b class='flag-5'>Versal</b> HBM<b class='flag-5'>系列</b>外部参考时钟设计指南文章

    Versal System Monitor(Sysmon):过热告警行为

    CIPS GUI 中已对 Versal System Monitor 过热 (OT) 告警进行了说明
    的头像 发表于 07-10 16:45 316次阅读
    <b class='flag-5'>Versal</b> System Monitor(Sysmon):过热告警行为

    AMD推出第二代Versal器件,为AI驱动型嵌入式系统提供端到端加速

    2024 年 4 月 9 日,德国纽伦堡(国际嵌入式展)——AMD(超威,纳斯达克股票代码:AMD )今日宣布扩展 AMD Versal 自适应片上系统( SoC )产品组合,推出全新
    的头像 发表于 04-10 10:25 136次阅读

    AMD发布第二代Versal自适应SoC,AI嵌入式领域再提速

    AMD表示,第二代Versal系列自适应SoC搭载全新的AI引擎,相较上一代Versal AI Edge系列,每瓦TOPS功率可实现最多3倍
    的头像 发表于 04-11 16:07 279次阅读