0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

利用SCR步进指令实现流水灯循环点亮

电子技术控 来源:电子技术控 2023-12-04 09:15 次阅读

在以往介绍西门子smart文章中,提到过流水灯的写法,有用循环位移字[ROL(R)_B]节来实现,有利用定时器(TON)来实现。今天我们利用SCR步进指令来实现流水灯循环点亮,在作这个实验前提必须满足如下几个软硬件条件:

1.)安装STEP 7‑Micro/WIN SMART/V2.6版本软件电脑一台;

2.)西门子SMART系列PLC一台;

满足以上条件,我们来解析一下顺控指令SCR执行的原理图,软件帮助上也有的。

wKgaomVtKE2AVIzYAAChXSBv8mQ771.jpg

3.)我们打开软件,组态一个CPU后,进入程序编写如下图:

wKgaomVtKE2AGp0WAAEygSZcaj4865.jpg

程序解说:

SCR/0.0这时第一步,当我们按下M10.0后置位S0.0后,它相当于黄框程序的总开关,当执行完Q0.0点亮时,T37延时1秒后它切换到(SCRT/0.1)第二步。下面第三步也是依次这样执行下去。

wKgZomVtKE2Afs4WAAC62_6ZdrU845.jpg

程序解说:

当我们第二步执行完毕后切换到第三步(SRC/S0.2),执行Q0.2点亮并延时1S执行第四步(也可以说执行第一步),那SCRT的上面应该填写S0.0,这样才能实现循环点亮。

SCRE是结束指令,它需要和SCRT切换指令配方使用,就像FOR循环的NEXT/JMP一样,成对使用!

下面我们把程序编写完毕,编译无误后下载到PLC里面,进行监控如下图:

wKgZomVtKE2AA_XDAAEtFnfl-6Q137.jpg

状态变量表和实际PLC输出点对应,因此这个程序是正确的,由于时间仓促及笔者水平有限,文章中难免存在错误,望广大朋友批评指正,并提出宝贵意见或建议!力争后面的朋友看到最完美的文章

审核编辑:汤梓红
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • plc
    plc
    +关注

    关注

    4975

    文章

    12254

    浏览量

    454912
  • 西门子
    +关注

    关注

    91

    文章

    2849

    浏览量

    113768
  • SCR
    SCR
    +关注

    关注

    2

    文章

    131

    浏览量

    43682
  • 指令
    +关注

    关注

    1

    文章

    579

    浏览量

    35376
  • 流水灯
    +关注

    关注

    21

    文章

    432

    浏览量

    59104

原文标题:利用顺控SCR指令来完成三个灯循环点亮

文章出处:【微信号:电子技术控,微信公众号:电子技术控】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    基于51单片机的LED流水灯设计

    前面的几个教程我们实现点亮LED灯并实现了闪烁,而本教程我们要进一利用开发板的LED&交通灯模块实现LED的
    发表于 07-17 14:20 937次阅读

    如何利用SCR步进指令实现流水灯循环点亮

    在以往介绍西门子smart文章中,提到过流水灯的写法,有用循环位移字[ROL(R)_B]节来实现,有利用定时器(TON)来实现
    的头像 发表于 12-04 09:14 1073次阅读
    如何<b class='flag-5'>利用</b><b class='flag-5'>SCR</b><b class='flag-5'>步进</b><b class='flag-5'>指令</b>来<b class='flag-5'>实现</b><b class='flag-5'>流水灯</b><b class='flag-5'>循环</b><b class='flag-5'>点亮</b>?

    这是个怎么情况啊 单片机流水灯从两端向中间循环点亮怎...

    单片机流水灯从两端向中间循环点亮怎么无法实现啊?是用PTU做的硬件电路只能实现从左向右点亮
    发表于 11-20 12:20

    怎样用C语言实现流水灯高亮度在前循环,低亮度在依次点亮不灭

    怎样用C语言实现流水灯高亮度在前循环,低亮度在依次点亮不灭
    发表于 11-10 01:17

    如何去实现一种LED流水灯来回循环点亮的设计?

    如何去实现一种LED流水灯来回循环点亮的设计?其程序代码该怎样去写?
    发表于 07-14 08:44

    怎样去实现最简单的流水灯设计呢

    文章目录流水灯流水灯--分步赋值法(数组赋值)流水灯--变量的左移右移流水灯--变量的循环左移和右移流水
    发表于 01-19 07:21

    点亮人生中的第一个流水灯

    、何为流水灯流水灯,顾名思义,和流水一样,挨个亮的过程。2、流水灯分析你可以脑补一下,第一个亮,其他灭,第二个亮,其他灭…第八个亮,其他灭,以此
    发表于 01-19 07:59

    左右来回循环流水灯实验报告

    基本电路设计,用于流水灯,可实现左右循环,可以实现跑马灯,可以实现全灭全亮
    发表于 11-17 14:57 0次下载

    实现间隔0.5s的流水灯显示

    该视频是实现间隔0.5s的流水灯。从右到左依次点亮
    的头像 发表于 06-11 00:14 7045次阅读
    <b class='flag-5'>实现</b>间隔0.5s的<b class='flag-5'>流水灯</b>显示

    使用单片机实现循环流水灯的程序免费下载

    本文档的主要内容详细介绍的是使用单片机实现循环流水灯的程序免费下载。
    发表于 07-24 17:37 1次下载
    使用单片机<b class='flag-5'>实现</b><b class='flag-5'>循环流水灯</b>的程序免费下载

    使用51单片机利用循环指令实现流水灯的程序免费下载

    本文档的主要内容详细介绍的是使用51单片机利用循环指令实现流水灯的程序免费下载。
    发表于 06-27 17:42 1次下载
    使用51单片机<b class='flag-5'>利用</b><b class='flag-5'>循环</b><b class='flag-5'>指令</b><b class='flag-5'>实现</b><b class='flag-5'>流水灯</b>的程序免费下载

    51单片机用三种方法实现流水灯(超详细)

    51单片机用三种方法实现流水灯一、数组流水灯二、移位函数流水灯三、移位运算符流水灯一、数组流水灯
    发表于 11-04 15:51 17次下载
    51单片机用三种方法<b class='flag-5'>实现</b><b class='flag-5'>流水灯</b>(超详细)

    51单片机实现流水灯

    文章目录51单片机实现流水灯一、点亮第一个LED灯二、流水灯1.总线型控制2.延时函数3._ crol _函数使用4. 实现
    发表于 11-05 13:36 24次下载
    51单片机<b class='flag-5'>实现</b><b class='flag-5'>流水灯</b>

    51单片机 LED点亮、闪烁以及流水灯实现

    51单片机学习初体验,点亮第一只LED灯和让其闪烁,用四种方法实现流水灯
    发表于 11-23 16:51 33次下载
    51单片机  LED<b class='flag-5'>点亮</b>、闪烁以及<b class='flag-5'>流水灯</b><b class='flag-5'>实现</b>

    基于FPGA的流水灯设计

    依次点亮4个LED灯,实现流水灯的效果,两灯之间点亮间隔为0.5s,LED灯一次点亮持续时间0.5s。
    的头像 发表于 06-23 16:54 1304次阅读
    基于FPGA的<b class='flag-5'>流水灯</b>设计