0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

简单认识JTAG接口

CHANBAEK 来源:小白制造局 作者: 俞儿游弋黄浦江 2023-11-14 16:24 次阅读

JTAG接口至少包括3个输入端口(TDI、TMS、TCK)和1个输出端口(TDO),统称测试访问端口(TAP)。TRST可选。当TAP Controller在上电时不能复位,则TRST应当存在。

图片

缩略语描述功能
TDI测试数据输入所有要输入到特定寄存器的数据都是一位一位串行输入的。上升沿有效。
TMS测试模式选择用来控制TAP在不同状态之间相互转换;TMS在TCK上升沿有效。
TCK测试时钟信号一个独立的时钟信号,TAP的所有操作都在这个时钟下完成。
TDO测试数据输出所有要从特定寄存器输出的数据都是一位一位串行输出的。下降沿有效。
TRST测试复位信号可选,该功能可能含在TAP Controller里。

Test Clock Input (TCK) :TCK为TAP的操作提供了一个独立的、基本的时钟信号,TAP的所有操作都是通过这个时钟信号来驱动的。TCK在IEEE 1149.1标准里是强制要求的。

• Test Mode Selection Input (TMS):TMS信号用来控制TAP状态机的转换。通过TMS信号,可以控制TAP在不同的状态间相互转换。TMS信号在TCK的上升沿有效。TMS在IEEE 1149.1标准里是强制要求的。

• Test Data Input (TDI) :TDI是数据输入的接口。所有要输入到特定寄存器的数据都是通过TDI接口一位一位串行输入的(由TCK驱动)。TDI在IEEE 1149.1标准里是强制要求的。

• Test Data Output (TDO):TDO是数据输出的接口。所有要从特定的寄存器中输出的数据都是通过TDO接口一位一位串行输出的(由TCK驱动)。TDO在IEEE 1149.1标准里是强制要求的。

• Test Reset Input (TRST) :TRST可以用来对TAP Controller进行复位(初始化)。不过这个信号接口在IEEE 1149.1标准里是可选的,并不强制要求。因为通过TMS也可以对TAP Controller进行复位(初始化)。

一个典型的JTAG连接器电路图如下:

图片

注:0.100'' = 2.54mm

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 寄存器
    +关注

    关注

    30

    文章

    5036

    浏览量

    117762
  • 连接器
    +关注

    关注

    96

    文章

    12643

    浏览量

    133144
  • 接口
    +关注

    关注

    33

    文章

    7643

    浏览量

    148520
  • JTAG
    +关注

    关注

    6

    文章

    383

    浏览量

    71151
  • 复位信号
    +关注

    关注

    0

    文章

    50

    浏览量

    6170
收藏 人收藏

    评论

    相关推荐

    什么是JTAG接口

    、 DSP 、 FPGA 器件等。标准的 JTAG 接口是 4 线: TMS 、 TCK 、 TDI 、 TDO ,分别为测试模式选择、测试时钟、测试数据输入和测试数据输出。 JTAG 测试允许多个器件
    发表于 09-21 10:11

    jtag接口电路图

    jtag接口电路图
    发表于 12-20 13:33 220次下载

    Trimedia DSP芯片JTAG接口的仿真器设计

    Trimedia DSP芯片JTAG接口的仿真器设计:提出Trimedia DSP 芯片硬件仿真器的硬件电路组成和接口软件设计; 介绍JTAG 接口
    发表于 05-12 17:15 70次下载

    JTAG接口下载线的制作

    JTAG接口下载线的制作:JTAG是IEEE委员会的联合测试小组制定的测试标准。它使用户可以测试器件的逻辑和PCB板上的各器件的内部连接。现在,很多器件都兼容JTAG标准。我们可以利用
    发表于 11-01 15:01 419次下载

    什么是jtag接口 jtag接口定义 JTAG ARM

    什么是jtag接口 JTAG(Joint Test Action Group ,联合测试行动小组 ) 是一种国际标准测试协议,主要用于芯片内部测试及对系统进行仿真、调试, J
    发表于 12-20 13:40 4.8w次阅读
    什么是<b class='flag-5'>jtag</b><b class='flag-5'>接口</b> <b class='flag-5'>jtag</b><b class='flag-5'>接口</b>定义 <b class='flag-5'>JTAG</b> ARM

    JTAG仿真接口设计

    JTAG仿真接口设计
    发表于 10-26 11:03 2063次阅读

    JTAG调试接口电路

    JTAG调试接口电路 注:VPUMP和VJTAG需要接3.
    发表于 03-17 09:25 2583次阅读
    <b class='flag-5'>JTAG</b>调试<b class='flag-5'>接口</b>电路

    JTAG仿真接口电路设计

      连接测试组(JTAG,Joint Test Action Group)接口用于连接最小系统板和仿真器,实现仿真器对DSP的访问,JTAG接口的连接需要和仿真器上的
    发表于 11-19 17:49 7369次阅读
    <b class='flag-5'>JTAG</b>仿真<b class='flag-5'>接口</b>电路设计

    JTAG接口分类及如何提高JTAG下载速度

    通常所说的JTAG大致分两类,一类用于测试芯片的电气特性,检测芯片是否有问题;一类用于Debug;一般支持JTAG的CPU内都包含了这两个模块。 一个含有JTAG Debug接口模块的
    发表于 10-13 19:28 3次下载

    嵌入式JTAG接口

    通常所说的JTAG大致分两类,一类用于测试芯片的电气特性,检测芯片是否有问题;一类用于Debug;一般支持JTAG的CPU内都包含了这两个模块。 一个含有JTAG Debug接口模块的
    发表于 10-13 20:47 7次下载

    JTAG接口如何转SWD接口_JTAG接口转SWD接口方法

    本文为大家介绍JTAG接口转SWD接口方法,利用此转换方式,可以简化板载调试接口(相应单片机应支持SWD调试)。
    发表于 01-11 10:23 3.1w次阅读
    <b class='flag-5'>JTAG</b><b class='flag-5'>接口</b>如何转SWD<b class='flag-5'>接口</b>_<b class='flag-5'>JTAG</b><b class='flag-5'>接口</b>转SWD<b class='flag-5'>接口</b>方法

    嵌入式JTAG接口你了解多少

    JTAG是串行接口,使用打印口的简单JTAG电缆,利用的是打印口的输出带锁存的特点,使用软件通过I/O产生JTAG时序。
    发表于 10-18 11:47 2105次阅读

    JTAG 连接器和接口

    尽管 JTAG 接口没有一种标准接头,但制造商之间已或多或少地标准化了几种接头类型。其中包括ARM JTAG 20、ARM JTAG 14、TI J
    的头像 发表于 06-06 10:18 3193次阅读
    <b class='flag-5'>JTAG</b> 连接器和<b class='flag-5'>接口</b>

    JTAG仿真器接口设计

    电子发烧友网站提供《JTAG仿真器接口设计.pdf》资料免费下载
    发表于 11-27 10:05 0次下载
    <b class='flag-5'>JTAG</b>仿真器<b class='flag-5'>接口</b>设计

    jtag接口和swd接口区别

    jtag接口和swd接口区别 JTAG (Joint Test Action Group) 接口和 SWD (Serial Wire Deb
    的头像 发表于 12-07 15:29 4058次阅读