0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

LVDS串并转换与并串转换设计

FPGA快乐学习 来源:FPGA快乐学习 2023-11-05 11:11 次阅读

串并转换与并串转换是高速数据流处理的重要技巧之一。其实现方法多种多样,根据数据的顺序和数量的不同要求,可以选用移位寄存器、双口RAM(Dual RAM)、SRAM、SDRAM或者FIFO 等实现。

带有高速收发器或LVDS等串行收发器的应用都包含了典型的串并转换与并串转换设计。以笔者在《XilinxFPGA伴你玩转USB3.0与LVDS》一书的第8章介绍的LVDS收发实验为例。如图3.21所示,FPGA接收到LVDS串行时钟s_clk和串行数据s_data,s_data是逐位传输的,每个s_clk时钟周期传输4bit数据。每2个s_clk时钟周期共传输8bit数据,这8bit数据就是实际应用中有具体意义的有效数据。因此,串并转换后,1bit位宽的s_data在2个s_clk时钟周期中累计送入的8bit数据,最终要转换为FPGA的并行时钟p_clk所同步的8bit位宽的并行数据p_data上。

8707a7da-7b88-11ee-939d-92fbcf53809c.jpg

图3.21 串并转换波形

由于LVDS的传输基于FPGA的硬件物理层结构,因此FPGA开发工具上通常都有配套支持的IP核供直接配置使用,串并转换(LVDS接收)或并串转换(LVDS发送)的工作都由IP核完成了,无需设计者自己写代码实现。

笔者在实际设计中,使用较多的是基于FIFO的串并转换或并串转换设计。如图3.22所示,左右两个FIFO,除了起到了数据缓存的作用,也起到了位宽变换,即串并转换(16bit转换为64bit)和并串转换(64bit转换为16bit)的作用。

871e76cc-7b88-11ee-939d-92fbcf53809c.jpg

图3.22 串并转换与并串转换应用

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21326

    浏览量

    593242
  • 收发器
    +关注

    关注

    10

    文章

    2971

    浏览量

    104791
  • 寄存器
    +关注

    关注

    30

    文章

    5032

    浏览量

    117746
  • Xilinx
    +关注

    关注

    70

    文章

    2121

    浏览量

    119388
  • lvds
    +关注

    关注

    2

    文章

    848

    浏览量

    64627

原文标题:经典设计思想:串并转换与并串转换

文章出处:【微信号:FPGA快乐学习,微信公众号:FPGA快乐学习】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    FPGA设计思想与技巧之并转换和流水线操作

    本帖最后由 jiuri1989 于 2012-2-10 11:42 编辑 本系列讨论的四种常用FPGA/CPLD设计思想与技巧:乒乓操作、并转换、流水线操作、数据接口同步化,都是FPGA
    发表于 02-10 11:40

    并转换74hc164

    并转换74hc164{:9:}
    发表于 10-12 14:20

    并转换74hc164应用实例

    并转换74hc164应用实例
    发表于 10-14 14:02

    求助 双工 转换的板子的通信问题

    每块板子都可以进行转换,但是在并转换
    发表于 03-30 20:48

    1to8并转换

    设计要求: (内部提供50M时钟)1.依据需求分析给出的要求,设计完成串口端(SCLK、DIO、CS)数据并转换依据接收到的数据切换DIO信方向号。(当DIO接收到读指令时,DIO为输出,其余
    发表于 03-09 17:50

    转换的问题,求助大神

    用两块单片机来实现按键控制灯亮,里面要用到转换,求解
    发表于 05-14 15:09

    请问用labview怎样实现信源的并转换

    有个题目是信源——并转换——过采样——脉冲成型——da转换——低通滤波——傅立叶——相加
    发表于 06-18 20:46

    FPGA并转换实现问题

    各位大神是否能用400个以上I/O口的FPGA芯片,实现并转换,一个串行RS232输入,将输入的50个字节的数据转换控制 50个8位并行输出。具体大概应该怎么做
    发表于 07-08 17:19

    简单的并转换怎样实现,不用移位寄存器。

    简单的并转换怎样实现,不用移位寄存器。
    发表于 12-14 15:37

    求问,简单的并转换怎样实现,不用移位寄存器。

    简单的并转换怎样实现,不用移位寄存器。
    发表于 12-14 15:38

    大神啊~~简单的并转换怎样实现,不用移位寄存器。

    简单的并转换怎样实现,不用移位寄存器。
    发表于 12-14 15:39

    LVDS信号并转换芯片UB9240

    如题,目前项目遇到一个IC,是LVDS信号UB9240,LVDS信号并转UB9210,这
    发表于 03-25 19:33

    瑞盟 MS9218 数字视频/并转换器在数据和控制周期对总共 27 位数据解

    描述:MS9218 数字视频/并转换器在数据和控制周期对总共27 位数据解。在数据周期,LVDS 串行输入被转换为18 位并行视频数据,
    发表于 07-30 10:06

    FPGA/并转换的思想相关资料推荐

    (18)FPGA/并转换的思想1.1 目录1)目录2)FPGA简介3)Verilog HDL简介4)FPGA/并转换的思想5)结语1.2 FPGA简介FPGA(Field
    发表于 02-23 07:38

    LVDS并转换器手册

    LVDS并转换器手册
    发表于 10-24 11:23 16次下载
    <b class='flag-5'>LVDS</b>串<b class='flag-5'>并转换</b>器手册