0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

【蓝桥杯嵌入式】第三章 LED流水灯

撞上电子 2023-09-26 08:00 次阅读

第一节 硬件解读

先看看原理图:

c0211eaa-5bff-11ee-9788-92fbcf53809c.png

和普通的开发板不同,蓝桥杯嵌入式的开发板通过一个74HC573芯片之后,连接到LED和限流电阻。那么74HC573是什么呢?我们可以通过数据手册找到他的真值表,如下:

c035b89c-5bff-11ee-9788-92fbcf53809c.png

从上表我们可以得到一个结论,只有在OE#和LE分别为L和H的时候,Output才会随Input变化而变化,所以我们控制了OE#和LE,只要其中一个不符合条件,不管Input是什么,Output的状态都不会改变,从而保护了Output的状态,得到锁存的效果,如果大家需要补充这里的知识,建议大家去看数电的知识,或者百度搜索“锁存器”。

从上面的原理图我们可以看出,OE#已经是低电平了,那么就只有LE了,也就是PD2引脚,当PD2引脚是高电平,单片机就可以控制LED了;如果是低电平,就无法控制了。例如,我们让LD1点亮的流程应该是:单片机控制PD2为高电平,打开锁存器,让单片机可以控制LED->单片机控制PC8引脚为低电平,让LD1亮->单片机控制PD2为低电平,关闭锁存器,让单片机无法控制LED。

第二节CubeMX配置

第一步:双击打开STM32CubeMX,,如下图,新建项目。注意:新建项目本教程只介绍一次,以后项目的建立不在重复。

c044f348-5bff-11ee-9788-92fbcf53809c.png

第二步:在英文状态下搜索芯片:STM32G431RBT6,选后选择对应的芯片即可。注意:搜索芯片时,确保输入法在英文的状态下;选择芯片的时候,可以选择后缀带有“TR”的,2者芯片完全一致,不影响比赛。

c04f005e-5bff-11ee-9788-92fbcf53809c.png

第三步:配置下载器,如下图。注意:本教程下载器配置是配置一遍,后续教程将不再重复。

c0597322-5bff-11ee-9788-92fbcf53809c.png

第四步:配置STM32的时钟注意:本教程时钟配置是配置一遍,后续教程将不再重复。如下:

c089ffec-5bff-11ee-9788-92fbcf53809c.png

c0a322a6-5bff-11ee-9788-92fbcf53809c.png

第五步:选择项目信息,如下配置:2处是项目的名字;3处是项目的路径;4处是编译器;5处是编译器版本注意:项目路径不能包含中文等其他非法字符;编译器一定要选择MDK-ARM;编译器版本一定要选择v5。后续教程将不在重复本步操作。

c0b2a46a-5bff-11ee-9788-92fbcf53809c.png

第六步:如下图,将3,4处的按钮勾选,点击5处,生成Keil工程。注意:后续教程将不在重复本步操作。

c0c38ea6-5bff-11ee-9788-92fbcf53809c.png

第七步:点击上步的5处之后,会有一个对话框,意思分别是:打开项目文件夹位置;打开项目;关闭本窗口。注意:这里灵活处理,打开文件夹和项目都可以。后续教程将不在重复本步操作。

c0ced61c-5bff-11ee-9788-92fbcf53809c.png

第八步:注意,以上操作是建立工程必备的操作,以后的教程不在重复。现在重新打开STM32CubeMX,开始配置LED的相关引脚。

c0de4a52-5bff-11ee-9788-92fbcf53809c.png

c0ea17b0-5bff-11ee-9788-92fbcf53809c.png

c0f49780-5bff-11ee-9788-92fbcf53809c.png

第九步:重新生成代码。

第三节MDK代码

第一步,编译代码,检查STM32CubeMX生成的代码是否正常。如下图,点击1处编译,之后在2处可以看见:0错误,0警告。注意:后续教程将不在重复本步操作。

c0fef0c2-5bff-11ee-9788-92fbcf53809c.png

第二步:配置下载器,这一步很多步骤,希望大家仔细一点,一步一步来。注意:不要忘记点击“OK”,后续教程将不在重复本步操作。

c1154994-5bff-11ee-9788-92fbcf53809c.png

第三步:新建.h文件。在“项目名/Core/Inc”中新建一个“Zsdz.h”的文件。注意:后续教程将不在重复本步操作。

c12b47f8-5bff-11ee-9788-92fbcf53809c.png


第四步:新建.c文件。在“项目名/Core/Src”中新建一个“Zsdz.c”的文件。注意:后续教程将不在重复本步操作。

c139ec68-5bff-11ee-9788-92fbcf53809c.png

第五步:添加“Zsdz.c”文件。点击1处,可以看见项目的所有的文件,点击2,3处,准备添加“Zsdz.c”文件,但是发现没有“Zsdz.c”文件,如下图:需要返回到上一层文件目录中,点击4处。注意:后续教程将不在重复本步操作。

c1437968-5bff-11ee-9788-92fbcf53809c.png

如下图:虽然没有发现“Zsdz.c”文件,但是我们看见了Core文件夹,还记得我们的“Zsdz.c”文件保存在哪吗?在“项目名/Core/Src”中,所以我们进入到“/Core/Src”,找到“Zsdz.c”文件即可。注意:后续教程将不在重复本步操作。


c14c0326-5bff-11ee-9788-92fbcf53809c.png

第六步:如下图,代表添加成功。注意:后续教程将不在重复本步操作。

c15e86d6-5bff-11ee-9788-92fbcf53809c.png

第七步:注意:如果利用STM32CubeMX生成MDK项目,“Zsdz.c”文件可能会从MDK项目中移除,请重新添加。

第八步:编写“Zsdz.c”文件的代码,如下:注意:后续教程将不在重复本步操作。

c1738d38-5bff-11ee-9788-92fbcf53809c.png

第九步:进入到“Zsdz.h”文件,右键,选择“Go to Headerfile‘Zsdz.h’”。注意:后续教程将不在重复本步操作。


c184acbc-5bff-11ee-9788-92fbcf53809c.png

第十步:编写“Zsdz.h”文件的代码,如下:注意:后续教程将不在重复本步操作。

c19b571e-5bff-11ee-9788-92fbcf53809c.png

第十一步:在Main中添加“Zsdz.h文件”,如下:注意:只可以添加在“USER CODE END”和“USER CODE BEGIN”之间,否则等下次利用STM32CubeMX生成MDK项目时,不在“USER CODE END”和“USER CODE BEGIN”之间的代码会被覆盖。

c1b1549c-5bff-11ee-9788-92fbcf53809c.png

第十二步:编写LED的函数模板,具体如下:注意:不要忘记声明gpio.c文件。注意:后续教程将不在重复本步操作。

c1c62732-5bff-11ee-9788-92fbcf53809c.png

c1cee0de-5bff-11ee-9788-92fbcf53809c.png

c1d83eb8-5bff-11ee-9788-92fbcf53809c.png

c1e147ba-5bff-11ee-9788-92fbcf53809c.png

第十三步:以上就是LED所有的封装函数了,我们在“Zsdz.h”文件中声明他们,如下图:注意:后续教程将不在重复本步操作。

c1f821f6-5bff-11ee-9788-92fbcf53809c.png

第十四步:现在随便在main函数中写几个,看看效果。

c2075cca-5bff-11ee-9788-92fbcf53809c.png

c21e3aee-5bff-11ee-9788-92fbcf53809c.png

第十五步:编译检查代码并下载。点击1处编译代码,之后在2处可以看见0错误0警告。代码没有问题之后,点击3处下载代码,在4处看见Flash Load finished at xxxx,就证明代码下载成功。注意:后续教程将不在重复本步操作。

c2286a28-5bff-11ee-9788-92fbcf53809c.png

第四节实验现象

注意:请用USB线连接电脑与开发板,注意是CN2口。

现象:500ms为间隔的流水灯。

来源:撞上电子微信公众号

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • led
    led
    +关注

    关注

    237

    文章

    22454

    浏览量

    645894
  • 嵌入式
    +关注

    关注

    4983

    文章

    18291

    浏览量

    288539
  • 流水灯
    +关注

    关注

    21

    文章

    432

    浏览量

    59115
收藏 人收藏

    评论

    相关推荐

    【每周一练】盘古1K开发板 练习一:LED流水灯实验学习

    实验目的 熟悉PDS开发流程,掌握流水灯原理并实现流水灯 实验要求 设计8个LED以0.5s间隔接替点亮 实现代码 `define UD #1 module led
    发表于 12-09 14:20

    基于单片机的流水灯电路设计

    前面一课掌握了嵌入式程序源代码级调试,为复杂单片机实验程序的调试做好了准备工作。本次实验完成流水灯电路模型设计和编程,在实验过程中,学习流水灯电路设计、编写延迟函数、循环移位宏定义。
    的头像 发表于 10-31 14:56 1464次阅读
    基于单片机的<b class='flag-5'>流水灯</b>电路设计

    【紫光同创国产FPGA教程】【PGC1/2KG第二LED 流水灯实验例程

    流水灯 :实验要求 流水灯:8 个 LED 以 0.5s 间隔接替闪烁 四:实验原理 相比上一个 LED 闪烁的实现,只需要改变
    发表于 08-09 11:58

    ARM PrimeCell GPIO/ DOP(PL060)技术参考手册

    PrimeCell GPEPL/ DOP(GPIO)及其特征。第二 功能概览阅读本章描述PrimeCell GPIO 信号的主要功能部分。第三章 程序员模型 阅读本章描述PrimeCell GPIO
    发表于 08-02 15:50

    第三章 一个LED闪烁

    第一节硬件解读先看看原理图:开发板上面芯片为:STM32L071KBU6,其中2号引脚(PC15)控制这一个LED,名为LD5,所以我们只需要控制STM32芯片的2号引脚(PC15)就可以控制LED
    的头像 发表于 07-31 16:50 512次阅读
    <b class='flag-5'>第三章</b> 一个<b class='flag-5'>LED</b>闪烁

    基于51单片机的LED流水灯设计

    前面的几个教程我们实现了点亮LED灯并实现了闪烁,而本教程我们要进一利用开发板的LED&交通灯模块实现LED流水灯设计,将采用位移循环和库函数的调用实现该功能。
    发表于 07-17 14:20 940次阅读

    实验教程:LED 流水灯 ——紫光盘古系列高性能入门级2K开发板

    一、实验目的 掌握流水灯原理并实现流水灯 二、实验要求 流水灯:8 个 LED 以 0.5s 间隔接替闪烁 、实验原理 相比上一个
    发表于 06-26 10:58

    基于FPGA的流水灯设计

    依次点亮4个LED灯,实现流水灯的效果,两灯之间点亮间隔为0.5s,LED灯一次点亮持续时间0.5s。
    的头像 发表于 06-23 16:54 1313次阅读
    基于FPGA的<b class='flag-5'>流水灯</b>设计

    从零开始学习紫光同创FPGA——PGL22G开发板之键控流水灯

    ,输出4’b0100时,第三led点亮;经过1秒钟,输出4’b1000时,第四个led点亮;经过1秒钟,输出4’b0001时,第一个led点亮······按照上述的过程周而复始,就形
    发表于 06-15 18:43

    基于51单片机设计的花样流水灯设计

    花样流水灯是一种常见的LED灯效果,被广泛应用于舞台表演、节日庆典、晚会演出等场合。
    的头像 发表于 06-11 10:00 2587次阅读
    基于51单片机设计的花样<b class='flag-5'>流水灯</b>设计

    HME FPGA入门指导:HME-P(飞马)系列开发板实验教程——LED流水灯

    熟悉 HME FPGA PLL IP 的使用,实现 LED 流水灯功能。
    的头像 发表于 05-30 10:04 1011次阅读
    HME FPGA入门指导:HME-P(飞马)系列开发板实验教程——<b class='flag-5'>LED</b><b class='flag-5'>流水灯</b>

    ARM Cortex-M学习笔记:GPIO流水灯的前世今生

    上一章通过控制GPIO的高低电平实现了流水灯,但只是告诉了大家怎么做,如何实现流水灯,本文将深入剖析的GPIO流水灯的前生今世,深入研究流水灯的调用逻辑和数据结构。
    的头像 发表于 05-15 14:44 1938次阅读
    ARM Cortex-M学习笔记:GPIO<b class='flag-5'>流水灯</b>的前世今生

    Verilog实现流水灯及与C语言的对比

      由原理图可知仅当FPGA的对应管脚输入低电平时LED才会亮,流水灯的效果可以轮流让四个对应管脚输出低电平来产生。
    的头像 发表于 05-14 14:11 543次阅读
    Verilog实现<b class='flag-5'>流水灯</b>及与C语言的对比

    GD32开发实战指南(基础篇) 第3章 GPIO流水灯的前世今生

    上一章通过控制GPIO的高低电平实现了流水灯,但只是告诉了大家怎么做,如何实现流水灯,本文将深入剖析的GPIO流水灯的前生今世,深入研究流水灯的调用逻辑和数据结构。
    的头像 发表于 05-10 08:58 4537次阅读
    GD32开发实战指南(基础篇) 第3章 GPIO<b class='flag-5'>流水灯</b>的前世今生

    CH32V103基础教程77-USART-指令控制LED

    本章教学主要在第二GPIO和第三章USART的基础上进行串口指令控制LED灯实验。 1、USART简介及相关函数介绍关于USART相关介绍,在前面第三章以及第六十一章到第六十七
    发表于 05-08 15:55