0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

vivado主界面及设计流程

冬至子 来源:电子技术实验XJTU 作者:孙敏 2023-09-17 15:40 次阅读

Vivado设计主界面,它的左边是设计流程导航窗口,是按照FPGA的设计流程设置的,只要按照导航窗口一项一项往下进行,就会完成从设计输入到最后下载到开发板上的整个设计流程。

图片

源程序管理窗口包含了一个设计中最基本的三种文件,设计源文件,约束文件,仿真源文件,如果添加了IP核,这里还会有IP源文件。

工作区窗口会显示工程相关的基本信息,以及我们对系统进行的详细设计都在这个窗口完成,例如设计代码的输入,查看综合实现结果、创建Block Design等。

运行每一个步骤之后,会在结果窗口中的Message窗口显示设计相关的所有信息,包括错误(error)和警告(warning)提示都会显示在Message下面。

基于Vivado开发环境的设计流程

1.设计输入

在Vivado中可以使用硬件描述语言描述电路,也可以通过IP集成器创建图形化工程。

在设计流程导航窗口(Flew Navigator)中,“Settings”可以进行vivado工具使用相关的常用设置,"Add Sources"可以添加或创建设计文件。"Language Templates"是语言模板,提供了一些硬件描述语言的常用设计的写法。“IP Catalog”可以打开IP目录,里面包含了Xilinx提供的IP核以及用户自己设计的IP核等。

IP集成器(IP INTEGRATOR)可以创建一个Block Design,可以将IP核,或HDL module以图形化的方式添加到图形化界面中,再通过端口的互联,像搭积木一样完成一些复杂的设计。

2.仿真(Simulation)

设计输入完成之后,需要进行仿真,这里可以进行功能仿真,也可以进行时序仿真,时序仿真就是考虑了走线时延及器件延迟的仿真。

3.RTL分析

RTL(寄存器传输级)分析会生成RTL原理图,可以对设计进行逻辑和功能检查。因为使用HDL描述设计时可能出现语法或逻辑上的错误,一般语法错误Vivado会自动检测和提示,而逻辑错误软件没有明显提示,可以使用RTL分析进行检查。

4.综合(Synthesis)

综合是将较高层次的逻辑设计代码或原理图等设计输入转化为较低层次的由FPGA芯片中底层基本单元表示的电路网表。

5实现(Implementation)

综合之后要进行实现,实现是将综合后的电路网表针对具体指定器件以及相关物理与性能约束进行优化、布局、布线的过程。

因此,在实现前还要添加约束文件,将设计的端口对应到具体的FPGA引脚上,还要根据板卡指定引脚供电电压等,此外,还要针对性能要求进行相关时序约束,保证时序收敛,作为FPGA入门,我们暂不考虑时序约束。

6.编程与调试(Program and Debug)

在这一步可以生成可配置文件,也就是将实现产生的网表文件转化为可下载到FPGA中的比特流文件,完成对FPGA的配置。

源程序管理窗口

源程序管理窗口包含了一个设计中最基本的三种文件,设计源文件,约束文件,仿真源文件,如果添加了IP核,这里还会有IP源文件。

工作区窗口

工作区窗口会显示工程相关的基本信息,以及我们对系统进行的详细设计都在这个窗口完成,例如设计代码的输入,查看综合实现结果、创建Block Design等。

结果窗口

Tcl console可以使用Tcl命令完成Vivado所有的操作。

Message会显示设计相关的所有信息,包括错误(error)和警告(warning)提示都会显示在message下面,其它的窗口目前阶段我们不常用,就不多做介绍了。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA设计
    +关注

    关注

    9

    文章

    425

    浏览量

    26273
  • 寄存器
    +关注

    关注

    30

    文章

    5032

    浏览量

    117741
  • TCL
    TCL
    +关注

    关注

    10

    文章

    1659

    浏览量

    87934
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65102
  • HDL语言
    +关注

    关注

    0

    文章

    46

    浏览量

    8869
收藏 人收藏

    评论

    相关推荐

    FPGA入门开发完整流程Vivado2020+Verilog)精选资料分享

    ,仿真文件,约束文件;时序仿真;约束:IO配置;综合;实现生成二进制文件,下载验证。这是网上找的的开发流程框图二、具体流程2.1 新建工程现在进入了开发界面,认识一下IDE的各部分可
    发表于 07-22 07:35

    基于microblaze的vivado开发流程

    、SW拨码开关以下是官网提供的资料链接:arty a7开发板资料Pmod DA4资料vivado安装说明board files添加基于microblaze的vivado开发流程以下是在viva
    发表于 01-18 08:09

    用 TCL 定制 Vivado 设计实现流程

    今天推出Xilinx已发布的《Vivado使用误区与进阶》系列:用TCL定制Vivado设计实现流程。 上一篇《Tcl 在 Vivado 中的应用》介绍了 Tcl 的基本语法以及如何利
    发表于 06-28 19:34

    Vivado设计流程指导手册

    Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式,一般简单设计中,我们常用的是 Project Mode。在本手册中,我们将以一个简单的实验案例,一步一步的完成 Vivado的整个设计流程
    发表于 09-20 07:37

    Vivado设计之Tcl定制化的实现流程

    其实Tcl在Vivado中还有很多延展应用,接下来我们就来讨论如何利用Tcl语言的灵活性和可扩展性,在Vivado中实现定制化的FPGA设计流程。 基本的FPGA设计实现流程 FPGA
    发表于 11-18 01:48 3338次阅读
    <b class='flag-5'>Vivado</b>设计之Tcl定制化的实现<b class='flag-5'>流程</b>

    Vivado综合引擎的增量综合流程

    Vivado 2019.1 版本开始,Vivado 综合引擎就已经可以支持增量流程了。这使用户能够在设计变化较小时减少总的综合运行时间。
    发表于 07-21 11:02 1390次阅读

    一起体验Vivado 的ECO流程

    带大家一起体验一下Vivado 的ECO流程,以vivado自带的Example Design为例, 直接用TCL命令修改网表,在正常的寄存器路径之间加一级LUT。 1. 打开Vivado
    的头像 发表于 10-26 09:45 3432次阅读
    一起体验<b class='flag-5'>Vivado</b> 的ECO<b class='flag-5'>流程</b>

    带大家一起体验一下Vivado的ECO流程

    这里带大家一起体验一下Vivado 的ECO流程,以vivado自带的Example Design为例, 直接用TCL命令修改网表,在正常的寄存器路径之间加一级LUT。
    的头像 发表于 11-29 11:04 3924次阅读
    带大家一起体验一下<b class='flag-5'>Vivado</b>的ECO<b class='flag-5'>流程</b>

    Vivado设计流程指导手册

    Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式,一般简单设计中,我们常用的是 Project Mode。在本手册中,我们将以一个简单的实验案例,一步一步的完成 Vivado的整个设计流程
    发表于 03-22 11:39 50次下载
    <b class='flag-5'>Vivado</b>设计<b class='flag-5'>流程</b>指导手册

    Vivado设计流程指导说明

    Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式,一般简单设计中,我们常用的是 Project Mode。在本手册中,我们将以一个简单的实验案例,一步一步的完成 Vivado的整个设计流程
    发表于 03-25 14:39 28次下载

    关于Vivado non-project模式

    vivado有project模式和non-project模式,project模式就是我们常用的方式,在vivado里面新建工程,通过GUI界面去操作;non-project模式就是纯粹通过tcl来指定
    的头像 发表于 10-17 10:09 2183次阅读

    用TCL定制Vivado设计实现流程

    今天推出Xilinx已发布的《Vivado使用误区与进阶》系列:用TCL定制Vivado设计实现流程
    的头像 发表于 05-05 09:44 735次阅读
    用TCL定制<b class='flag-5'>Vivado</b>设计实现<b class='flag-5'>流程</b>

    vivado创建工程流程

    vivado的工程创建流程对于大部分初学者而言比较复杂,下面将通过这篇博客来讲解详细的vivado工程创建流程。帮助自己进行学习回顾,同时希望可以对有需要的初学者产生帮助。
    的头像 发表于 07-12 09:26 1325次阅读
    <b class='flag-5'>vivado</b>创建工程<b class='flag-5'>流程</b>

    vivado仿真流程

    vivado开发软件自带了仿真工具,下面将介绍vivado的仿真流程,方便初学者进行仿真实验。
    的头像 发表于 07-18 09:06 2567次阅读
    <b class='flag-5'>vivado</b>仿真<b class='flag-5'>流程</b>

    Vivado设计套件用户指南(设计流程概述)

    电子发烧友网站提供《Vivado设计套件用户指南(设计流程概述).pdf》资料免费下载
    发表于 09-15 09:55 1次下载
    <b class='flag-5'>Vivado</b>设计套件用户指南(设计<b class='flag-5'>流程</b>概述)