0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

DFT中的Scan Chain扫描链测试过程

冬至子 来源:简矽芯学堂 作者:简矽芯学堂 2023-09-15 11:29 次阅读

随着现代集成电路工艺的发展,芯片制成越来越来精密,出现缺陷的可能性也越来越高,有时候一个微不足道的影响就可能导致芯片报废,为了能有效的检测出生产中出现的废片,就需要用到扫描链测试(scan chain),DFT可测试性设计中的扫描链测试发展至今,已经有了成熟的设计和制造流程。本文就对扫描链测试过程做简单介绍。

扫描链测试原理

scan chain的基本原理是将设计中所有触发器连成一条链,用统一的scan clk驱动,这样可以将预先设计好的scan pattern送入芯片中,然后开启capture使能,这样每个触发器Q端输出传入它们所驱动的组合电路,scan chain中的下一级触发器D端就会捕获这个组合电路的输出,然后capture失效,这组向量与工具预先计算好的预期相比较,以此为依据来判断芯片中是否有制造错误

下图即为scan前和scan后的DFF,这是一个简单的示意图,含有三个扫描寄存器。其实就是替换成带有scan逻辑的DFF,当scan_en有效时,scan逻辑就会从scan-in穿过DFF到达scan-out,多个scan DFF链接在一起就成了scan chain,链上有多少个scan DFF表示这条链的长度。

图片

作为结构性测试(structural test)的主要手段,DFT工程师需要注意的是电路的可测性,也就是可观测点和可控制点。在运用scan 测试方法的时候,整个芯片被看做是大量的寄存器和寄存器直接连接的组合逻辑。这也就是scan test开发的基本原理。

为了侦测生产过程中的制造缺陷,常用的方法并不是对芯片功能进行测试,而是从元器件最基本的电路反应入手,测试其中的异常,从而侦测到制造缺陷。当然上述是scan chain的基本思想,scan的具体过程在电路中的应用也是相对复杂的,因其横跨了芯片设计的整个周期,各个角落,在设计scan test的时候需要综合考虑到芯片设计的方方面面,包括时钟设计,电源设计,芯片结构,PAD资源,逻辑综合规划等。

DFT中的Scan Chain Flow

Scan的工作流程大概分为以下过程:

1、首先是scan insertion(扫描链的插入),在芯片功能设计完成后,即为将整个网表由一堆普通寄存器替换为扫描寄存器的过程,这样新加入的寄存器和原有寄存器一同构成scan chain并参与对芯片的测试;

2、接下来是Test Pattern Generation(测试向量生成过程),测试向量的产生是基于ATPG算法与故障模型以及电路结构生成的,依靠扫描链的插入结构生成测试向量,得到测试向量后;

3、即对电路进行门级仿真,类似于验证芯片功能,当然最后测试向量需要在ATE机台上针对有限的芯片输入输出端口进行测试,尽管上述流程描述相对简单,但如前文所言在实际应用中要考虑对芯片主线的影响,功耗,面积开销等问题,这使得该过程变得相对复杂,所以在DFT的工作中,需要对各个因素全面考虑,做到覆盖率高,功耗低。

Scan Reorder

在做完Coarse Placement后,Scan Cell大部分是按照连接的顺序随机的乱放的。这样其实会极大地占用绕线资源,因此,在后续步骤开始之前,我们需要对扫描链的布局布线进行处理,在不影响逻辑功能的前提下,重新进行连接,从而减少走线长度。那这个重组的过程,我们就称之为扫描链重组(Scan Reorder),这个过程可以用下面两张图来形象地说明:

图片

图片

在scan插入后会生成后缀为.def文件,后端工程师通过获取.def文件对scan chain进行Reorder

Scan Reorder之前可以看到每个scan cell的连接是繁琐杂乱的,被称为detour,这就需要scan Reorder,在不影响功能逻辑的前提下整理布局布线,得到清晰合理的scan cell连接。

总结

大多数测试生成方案都会将一个被测电路视为一个黑盒子,而对测试机而言,唯一可利用控制端的就是主要输入端,唯一可用的观测点就是主要输出端,因此这就限制了电路的可控性和可观测性,扫描链的机制很好解决这一问题,随着该技术的发展,测试生成算法,以及其他测试方案也会随着改进和发展。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 电源设计
    +关注

    关注

    30

    文章

    1504

    浏览量

    65410
  • 寄存器
    +关注

    关注

    30

    文章

    5042

    浏览量

    117768
  • 触发器
    +关注

    关注

    14

    文章

    1694

    浏览量

    60424
  • DFT算法
    +关注

    关注

    0

    文章

    27

    浏览量

    7504
  • ATPG
    +关注

    关注

    1

    文章

    6

    浏览量

    7522
收藏 人收藏

    评论

    相关推荐

    scan测试的基本原理 scan测试的基本过程

    如下图所示:左边是普通寄存器,右边是可扫描寄存器,D端前面加一个二选一的MUX,选择端是scan_enable,为1时选择SI端,为0时选择D端。
    的头像 发表于 09-15 10:10 2433次阅读
    <b class='flag-5'>scan</b><b class='flag-5'>测试</b>的基本原理 <b class='flag-5'>scan</b><b class='flag-5'>测试</b>的基本<b class='flag-5'>过程</b>

    DFT和BIST在SoC设计的应用

    虽然可测性设计(DFT)与内置自检(BIST)技术已在SoC(系统级芯片)设计受到广泛关注,但仍然只是被看作“后端”的事。实际上,这些技术在器件整个设计周期中都非常重要,可以保证产品测试错误覆盖率
    发表于 12-15 09:53

    让你彻底理解DFT

    百分之百的覆盖率。另外,结构测试向量在DFT应用过程中起着至关重要的作用,为了得到高效率的此类测试向量,需要在设计阶段实现特定的辅助性设计;通过增加一定的硬件开销,获得最大可
    发表于 05-25 15:32

    帮你理解DFTscan technology

    前面一期的公众号文章“让你彻底理解DFT”帮助大家理解了DFT所解决的问题。一句话来概括之就是:借助特定的辅助性设计,产生高效率的结构性测试向量以检测生产制造过程中引入芯片中的各种物理
    发表于 06-14 14:20

    基于扫描DFT对芯片测试的影响有哪些?

    基于扫描DFT方法扫描设计的基本原理是什么?扫描设计测试的实现过程是怎样的?基于
    发表于 05-06 09:56

    dft测试性设计

    dft测试性设计,前言可测试性设计方法之一:扫描设计方法可测试性设计方法之二:标准IEEE测试
    发表于 07-22 09:10

    DS26522 JTAG Scan Chain Mappin

    Abstract: This application note describes the JTAG hardware boundary scan chain for the DS26522
    发表于 04-18 11:45 814次阅读
    DS26522 JTAG <b class='flag-5'>Scan</b> <b class='flag-5'>Chain</b> Mappin

    一文读懂DC/AC SCAN测试技术

    3 使用Testcompress 实现EDT压缩scan chain 4 使用Testcompress 产生测试DC/ACpattern,同时产生测试验证的Testbench
    发表于 10-26 16:01 3.5w次阅读
    一文读懂DC/AC <b class='flag-5'>SCAN</b><b class='flag-5'>测试</b>技术

    DFT分步法原理分析

    的电性参数发生偏移,扫描测试失败。这对DFT(Design for Test)以及ATPCJ(Automatic Test Pattern Ceneration)提出了更高的挑战。
    发表于 11-11 16:20 9次下载
    <b class='flag-5'>DFT</b>分步法原理分析

    基于扫描DFT方法扫描设计实现过程和对芯片故障覆盖率的影响

    随着ASIC电路结构和功能的日趋复杂,与其相关的测试问题也日益突出。在芯片测试方法和测试向量生成的研究过程中,如何降低芯片的测试成本已经成为
    发表于 08-18 14:57 2988次阅读
    基于<b class='flag-5'>扫描</b>的<b class='flag-5'>DFT</b>方法<b class='flag-5'>扫描</b>设计实现<b class='flag-5'>过程</b>和对芯片故障覆盖率的影响

    用全扫描结构(FULL SCAN METHOD)来实现数字电路

    文主要探讨了用全扫描结构(FULL SCAN METHOD)来实现数字电路可测性设计(DESIGN FOR TEST)的原理与方法。其中涉及到扫描结构(SCAN)的算法依据、电路的基本
    发表于 03-26 14:48 22次下载
    用全<b class='flag-5'>扫描</b>结构(FULL <b class='flag-5'>SCAN</b> METHOD)来实现数字电路

    MCU芯片设计了mbist、scan chain之后,功能仿真失败?

    接着上文,MCU芯片设计了mbist、scan chain之后,功能仿真失败?
    的头像 发表于 02-20 09:35 1043次阅读

    怎么配置DFT中常见的MBIST以及SCAN CHAIN

    今天这期小编将继续与大家一起学习DFT的相关知识和流程代码,在开始之前,先解决一下上期DFT学习的章节最后留下的问题—DFT工程师在收敛时序timing的时候经常遇到的hold的问题,即不同时钟域的两个SDFF(
    的头像 发表于 04-16 11:34 4765次阅读

    是否需要补插scan chain的isolation cell?怎么插呢?

    当然最显而易见的办法就是vclp检查哪儿需要补插isolation cell,那么是否需要补插scan chain的isolation cell, 怎么插呢?
    的头像 发表于 05-10 09:18 852次阅读
    是否需要补插<b class='flag-5'>scan</b> <b class='flag-5'>chain</b>的isolation cell?怎么插呢?

    芯片设计测试scan和bist的区别

    Scan stitching 是把上一步中得到的Scan DFF的Q和SI连接在一起形成scan chain。在芯片的顶层有全局的SE信号,以及sc
    发表于 10-09 16:53 1807次阅读
    芯片设计<b class='flag-5'>测试</b>中<b class='flag-5'>scan</b>和bist的区别