0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA设计之tcl脚本的应用

CHANBAEK 来源:小小研究生 作者:yjs 2023-09-07 18:30 次阅读

目前已经学完了基础实验,这里要介绍Quatus自带的两个非常重要的功能,第一个是tcl脚本,第二个是SignalTap(下一篇)。

我们首先从管脚分配的实例去理解tcl脚本的功能。TCL(tool command language),是一种解释执行的脚本语言,常用于快速原型开发,脚本编程,GUI和测试等方面。包含了语言和库,首先tcl是一种简单的脚本语言,主要使用于发布命令给一些程序如文本编辑器、调试器和shell。同时它也是个库,可以被嵌入应用程序,每个应用程序都可以根据自己的需要对tcl语言进行扩展。由于它具有良好的可扩展性,目前成为自动测试中的标准。

快速绑定管脚

当复杂模块需要绑定的管脚过多时,手动去设置不方便,这里提供了一种自动化绑定管脚的方法,同时Quatus提供了支持tcl脚本的接口。我的tcl管脚分配脚本中包含了开发板上用到的可控外设的所有管脚配置信息,由于开发板厂家和型号是不一样的,管脚也会有区别,但是方法和语法是一样的,只需要做些许修改。

图片

注释用#,set_location_assignment表示进行管脚约束,后面是管脚的位置,“-to”后面是代码顶层的管脚名,每行结束后没有分号

在实际使用时,tcl脚本文件要放在工程文件夹下,并将其添加到工程中,否则无法自动识别。这个工程用到哪个模块的引脚就只需要保留对应的引脚。其他没用到的模块用#注释掉。在notepad++中按住Alt键在列模式下进行编辑,拖动鼠标选中每一列开头的位置输入#。

图片

实际tcl脚本分配管脚的优势在管脚特别多的时候才明显,但是目前还没接触特别复杂的模块,先以流水灯为例

1、将tcl脚本命令为water_led.tcl放在工程文件夹下

图片

2、注释掉没有使用到的管脚,只留下时钟,复位,四个LED。代码中的端口名和Tcl脚本中的管脚命名必须一致!!!

3、Toos-TCL Scripts-自动识别到-run(乱码的中文不影响)

图片

4、打开pin planner确认管脚都已经自动分配好

图片

还有一种方式可以加载tcl脚本,结果是一样的,习惯哪种都行

1、View-Utility Windows-Tcl Console

2、在tcl console栏里复制粘贴tcl脚本里的内容

3、打开pin planner确认管脚都已经自动分配好

图片

还有一种方式可以分配管脚

Assignments-Import Assignments-添加csv或txt文件(同样要将csv文件或txt文件放到工程目录下)

图片

快速解除管脚

Assignments-Remove Assignments-选中Pin, Location & Routing Assignments-OK

图片

图片

导出Tcl脚本

手动绑定好管脚之后也可以直接导出包含管脚信息的tcl脚本

按住shift键选中所有pin,右键单击选择export,输出格式选择tcl,选好导出文件位置,导出

图片

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21326

    浏览量

    593233
  • FPGA设计
    +关注

    关注

    9

    文章

    425

    浏览量

    26273
  • TCL
    TCL
    +关注

    关注

    10

    文章

    1659

    浏览量

    87934
  • 脚本
    +关注

    关注

    1

    文章

    372

    浏览量

    14636
收藏 人收藏

    评论

    相关推荐

    XILINX FPGA Debug with VIO and TCL

    提供的软核,通过Xilinx开发环境和jtag下载线可以在PC上获知FPGA内部逻辑信号的状态,也能输出信号给FPGA内部逻辑。TCL是一种通用的EDA工具脚本语言。Xilinx开发环
    发表于 03-08 15:29

    synplicity的tcl脚本如何读取或是加载.lst后缀文件

    正常情况下:add_file -verlog xxx.v现有ASIC工程用的perl脚本,都是加载的xxx.lst文件,本人使用tcl脚本如何加载.lst后缀的文件呢。老感谢
    发表于 03-01 14:35

    加载TCL脚本

    求助大神 制作能够 “加载TCL脚本功能”得用什么控件!求指教求指点~!万分感谢~!{:36:}百度了好几天都没有~!
    发表于 04-22 09:55

    关于TCL脚本问题

    请问大家,这个tcl脚本文件是做什么用的呢????本人是菜鸟,还望大家多多指教啊
    发表于 06-14 16:05

    例说FPGA连载33:PLL例化配置与LED使用Tcl Console进行引脚分配

    `例说FPGA连载33:PLL例化配置与LED使用Tcl Console进行引脚分配特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc
    发表于 09-17 17:50

    例说FPGA连载34:PLL例化配置与LED使用TCL Scripts进行引脚分配

    `例说FPGA连载34:PLL例化配置与LED使用TCL Scripts进行引脚分配特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1c0nf6Qc
    发表于 09-23 09:34

    勇敢的芯伴你玩转Altera FPGA连载49:PWM蜂鸣器驱动引脚分配

    Language),即工具命令语言。是一种好用易学的编程语言。在EDA工具中广泛使用,几乎所有FPGA开发工具都支持这种语言进行辅助设计。例如这里我们就要尝试用tcl脚本进行FPGA
    发表于 02-27 21:50

    ISE 14.7怎么从tcl脚本更改.bit文件名

    你好,我做了Project->生成TCL脚本。现在,我希望能够从tcl脚本中指定.bit文件名。我怎么做?以上来自于谷歌翻译以下为原文hello,I did the Project-&
    发表于 11-09 11:49

    【创龙TLZ7x-EasyEVM评估板试用连载】TcL脚本的使用

    ` 今天继续与大家分享一下使用TcL脚本生成Vivado工程及编译的开发体验。创龙提供了丰富的入门教程与Demo程序,帮助我们快速熟悉FPGA开发流程。先来了解一下什么是Tcl呢?
    发表于 06-07 13:59

    在Vivado下利用Tcl脚本对综合后的网表进行编辑过程

    在ISE下,对综合后的网表进行编辑几乎是不可能的事情,但在Vivado下成为可能。Vivado对Tcl的支持,使得Tcl脚本FPGA设计中有了用武之地。本文通过一个实例演示如何在Vi
    发表于 11-18 03:16 7009次阅读
    在Vivado下利用<b class='flag-5'>Tcl</b><b class='flag-5'>脚本</b>对综合后的网表进行编辑过程

    Vivado之TCL脚本语言基本语法介绍

    TCL脚本语言 Tcl(Tool Command Language)是一种很通用的脚本语言,它几乎在所有的平台上都可以解释运行,而且VIVADO也提供了
    发表于 04-11 12:09 9270次阅读

    FPGA调试中常用的TCL语法简介

    使用Jtag Master调试FPGA程序时用到tcl语言,通过编写tcl脚本,可以实现对FPGA的读写,为调试
    的头像 发表于 02-19 19:44 2312次阅读

    FPGA便捷开发-TCL商店(开源)

    传统的FPGA开发都是通过GUI界面进行相关的“按钮”式操作,Vivado则在引入Tcl解释器后,可以通过非工程模式进行操作,一个Tcl脚本即可自动化建立工程,对工程进行分析。
    的头像 发表于 04-07 15:02 5476次阅读

    Vivado设计套件用户指南:使用Tcl脚本

    电子发烧友网站提供《Vivado设计套件用户指南:使用Tcl脚本.pdf》资料免费下载
    发表于 09-14 14:59 0次下载
    Vivado设计套件用户指南:使用<b class='flag-5'>Tcl</b><b class='flag-5'>脚本</b>

    Vivado Design Suite用户指南:使用Tcl脚本

    电子发烧友网站提供《Vivado Design Suite用户指南:使用Tcl脚本.pdf》资料免费下载
    发表于 09-13 15:26 0次下载
    Vivado Design Suite用户指南:使用<b class='flag-5'>Tcl</b><b class='flag-5'>脚本</b>