0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

从设计到制造,Chiplet何以成为高性能芯片设计的首选

E4Life 来源:电子发烧友网 作者:周凯扬 2023-08-11 01:26 次阅读

电子发烧友网报道(文/周凯扬)随着摩尔定律的失效或者说减弱已成定数,除了稳步发展半导体制造工艺外,半导体产业还涌现了不少继续提高性能的方法,比如Chiplet技术。该技术将复杂的SoC芯片设计分解成模块化的小芯片单元,再通过die-to-die(D2D)技术将其封装在一起。

如此一来设计更高效的重复利用成为现实,借助Chiplet设计芯片的厂商们不仅降低了成本,也极大加快了产品上市周期,更可以改善大型单片SoC的良率。当下Chiplet无论是从设计还是制造,以及标准化上都拥有了较为成熟的生态,从这些生态中我们也可以看出为何越来越多的SoC选择Chiplet设计。

EDA与IP

要说Chiplet生态除了造福下游一众初创半导体企业外,也带动了EDA与IP厂商的创新和发展,甚至说他们是直接受益人也不为过。从IP厂商来说的话,目前被Chiplet生态中利用最多的莫过于接口IP的Chiplet,比如新思等IP厂商的产品。同时,类似以太网等接口IP往往无需用到最先进的工艺,很适合用于节省芯片整体成本。

wKgaomTUu3SAfAclAAHLsU_uXUg656.png
Designware多Die系统解决方案 / 新思


像Blue Cheetah这样的IP厂商,也推出了为Chiplet定制的D2D互联IP方案BlueLynx,支持到5nm、7nm、12nm和16nm的工艺节点,且不少Tier1和初创企业都将该方案用于其数据中心网络AI芯片中。

至于相关通用计算类IP在公开Chiplet化的进度上仍较为落后,毕竟这类IP往往是各大厂商最强竞争力的体现。拥有足够优秀IP的厂商往往会选择自研产品,而不是拿出来供市场公开重复利用。但RISC-V架构下的IP厂商倒是对此更加开放,而Arm也有心将其用于特定的应用中去,比如服务器CPU

而EDA厂商目前对Chiplet生态的参与度也相当高,包括新思、Cadence这些本身就有IP业务的厂商在内,本身就有着全流程的EDA工具,自然也都早早参与到Chiplet生态的建设中来。与此同时,多个Chiplet设计的分层测试、诊断维护以及全面检测功能也属于EDA厂商的重心,毕竟这对于制造难易程度和长期系统可靠性来说至关重要。

而国产EDA厂商在Chiplet设计上的进度就有些慢了,目前绝大多数国产EDA厂商并没有提供Chiplet对应的方案,已知开始Chiplet相关技术研发的公司包括华大九天、合见工软等厂商,考虑到国内EDA厂商对这类先进封装方案的研究尚处于开始阶段,也需要更多的时间积累才有概率赶上国际大厂。

制造与封装

同样在半导体制造端,绝大多数厂商都已经开启了Chiplet的进程,出货量也在逐渐上升,对于他们来说对Chiplet的支持反而会给他们带来更多的订单。以台积电为例,Chiplet对于他们来说就是一个与3D堆叠技术完美结合的方案。

为此,台积电于去年在其OIP合作伙伴生态下,成立了新的3DFabric联盟,拉拢EDA/IP、DCA/VCA、内存、OAST、基板与测试厂商,一同推进Chiplet生态的发展。像AMD这样的厂商,早就和台积电合作打造了基于3D Chiplet技术的CPU和APU产品。

wKgaomTUu4WANmzyAAadX2c8ovY459.png
3DFabric联盟 / 台积电


除了3DFabric的3D堆叠和先进封装技术外,台积电还和EDA厂商合作打造了3Dblox这一标准,用于统一设计工具的工作流,让客户在台积电的平台上进行3D Chiplet IC设计时,拥有更高的灵活度和易用性。

与此同时,Chiplet为封装厂商创造了更多的机会,即便是初创企业也都有机会参与到最先进的半导体制造流程中来。今年年初,长电科技宣布其XDFOI Chiplet高密度多维异构集成系列工艺已经进入稳定量产阶段,且同步实现国际客户4nm节点的多芯片系统集成封装产品出货,最大可实现1500mm2的系统级封装面积。

据长电科技公布的数据,其XDFOI Chiplet技术可以实现50μm以内的中介层厚度,40μm的微凸点中心距,可以供客户在更小的单位面积内实现各种高密度工艺的集成,从而做到更小的封装尺寸。至于国际客户的4nm封装订单,则很有可能是来自某个高性能AI芯片。

再以周秀文、戴伟立夫妇二人和前长电科技执行副总裁韩丙濬2021年成立的Silicon Box为例。这家新加坡初创公司在近期宣布,他们耗资20亿美元在本地建立的先进半导体封装厂正式开放,主打解决Chiplet互联技术面临的挑战。

三大创始人的背景则足以证明了Chiplet的潜力,更何况周秀文早前就提出过Mochi这种模块化芯片架构的方案。且据CEO韩丙濬称,早在工厂尚未完工之前,客户就已经开始排队了。Silicon Box表示新封装厂的成立加上其专有的次5μm级互联技术,将帮助AI、数据中心和电动汽车等领域的客户实现更快的芯片上市周期,同时保证他们的IP安全性。

目前已知公开有合作意向的客户就包括了RISC-V AI芯片初创企业Tenstorrent,其两大高层Jim Keller和Raja Koduri都在近期参观了Silicon Box的新封装厂。从Tenstorrent的产品路线图来看,后续AI芯片中的Chiplet封装很可能会交由Silicon Box完成。

联盟与规范

当然了,作为力求席卷行业的一个技术,即便是不开源,也需要有一定的标准规范,比如上文中台积电联合EDA厂商推出的3Dblox。同时也需要行业个体和组织共同推动,比如UCIe联盟。UCIe联盟作为成立尚不足两年的Chiplet标准联盟,已经吸引了一大批巨头和初创企业的加入。

wKgZomTUu5GAOmgDAAKp8EzPgvY384.jpg
UCIe协议栈 / UCIe联盟


在第一版UCIe 1.0规范中,联盟定义了die-to-die I/O的物理层和协议,同时还有利用另外两大行业标准PCIe和CXL的软件栈模型。不过第一版仅仅只针对的是2D和2.5D的芯片封装,并没有对一些3D die-to-die 技术提供定义,毕竟这类3D封装技术还是仅限于部分先进制造厂商,且技术路线各有不同,但UCIe联盟仍在进行相关的努力。

不过即便是只有2D和2.5D封装,UCIe也展现出了可观的带宽性能,根据今年ISC2023上公布的数据,2D封装下的Chiplet可以实现4通道73GB/s的带宽,而2.5D封装下的Chiplet可以实现32通道630GB/s的带宽。这样的密度意味着其能效要远远大于标准的PCIe 5.0板载连接。

近日,UCIe联盟也终于发布了1.1版本的新规范,为Chiplet生态系统又带来了一些改进,尤其是针对汽车行业。比如预测性失效分析和健康度检测等,都是汽车这类高可靠性应用中的关键特性。同时,1.1版本还引入了新的凸点图降低了封装成本。相信随着UCIe规范的发展,以及越来越多的公司加入这一联盟,过去的共享IP池也能逐渐变为未来的共享Chiplet池。

写在最后

即便Chiplet技术对于整个行业来说,是又一次设计效率的蜕变,但我们也需要提防设计创新思维的僵化。未来基于第三方Chiplet打造的芯片会越来越多,却很有可能依然打着“完全自研”的旗号。Chiplet的存在无疑让设计公司对可靠IP的选择变得更加灵活,避免了重复造轮子的问题,即便如此,我们还是应该避免设计同质化的问题,这样对于市场多样性和创新发展来说,也能起到更大的推进作用。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • chiplet
    +关注

    关注

    6

    文章

    380

    浏览量

    12419
收藏 人收藏

    评论

    相关推荐

    什么是Chiplet技术?

    什么是Chiplet技术?Chiplet技术是一种在半导体设计和制造中将大型芯片的不同功能分解并分散实现在多个较小和专用的芯片(Chiple
    的头像 发表于 01-25 10:43 553次阅读
    什么是<b class='flag-5'>Chiplet</b>技术?

    Chiplet技术对英特尔和台积电有哪些影响呢?

    Chiplet,又称芯片堆叠,是一种模块化的半导体设计和制造方法。由于集成电路(IC)设计的复杂性不断增加、摩尔定律的挑战以及多样化的应用需求,Chiplet技术应运而生。
    的头像 发表于 01-23 10:49 390次阅读
    <b class='flag-5'>Chiplet</b>技术对英特尔和台积电有哪些影响呢?

    Chiplet成大芯片设计主流方式,开启IP复用新模式

    照不同的计算单元或功能单元对其进行分解,然后每个单元选择最适合的工艺制程进行制造,再将这些模块化的裸片互联起来,降低芯片设计的成本和难度。   Chiplet模型已经被证明是可行的,目前AMD、英特尔、博通和Marvell等公司
    的头像 发表于 01-12 00:55 1436次阅读

    什么是Chiplet技术?Chiplet技术有哪些优缺点?

    组件。这种技术的核心思想是将大型集成电路拆分成更小、更模块化的部分,以便更灵活地设计、制造和组装芯片Chiplet技术可以突破单芯片光刻面积的瓶颈,减少对先进工艺制程的依赖,提高
    的头像 发表于 01-08 09:22 1735次阅读

    Chiplet主流封装技术都有哪些?

    不同的连接技术把它们拼装在一起,以实现更高效和更高性能芯片设计。本文将会详尽、详实、细致地介绍Chiplet主流的封装技术。 1. 面向异构集成的2.5D/3D技术 2.5D/3D技术是Ch
    的头像 发表于 09-28 16:41 1465次阅读

    Chiplet,怎么连?

    高昂的研发费用和生产成本,与芯片性能提升无法持续等比例延续。为解决这一问题,“后摩尔时代”下的芯片异构集成技术——Chiplet应运而生,或将从另一个维度来延续摩尔定律的“经济效益”
    的头像 发表于 09-20 15:39 417次阅读
    <b class='flag-5'>Chiplet</b>,怎么连?

    chiplet和cowos的关系

    及两者之间的关系。 一、Chiplet的概念和优点 Chiplet是指将一个完整的芯片分解为多个功能小芯片的技术。简单来说,就是将一个复杂的芯片
    的头像 发表于 08-25 14:49 2317次阅读

    chiplet和cpo有什么区别?

    chiplet和cpo有什么区别? 在当今的半导体技术领域,尺寸越来越小,性能越来越高的芯片成为了主流。然而,随着芯片数量和面积的不断增加,
    的头像 发表于 08-25 14:44 1635次阅读

    chiplet和sip的区别是什么?

    chiplet和sip的区别是什么? 芯片行业一直在积极探索高性能、高效率、低成本的制造技术,而目前引起人们关注的是chiplet和SIP(
    的头像 发表于 08-25 14:44 2630次阅读

    国芯科技:正在流片验证chiplet芯片高性能互联IP技术

    国芯科技(688262)。sh) 8月2日的投资者在互动平台(interface),公司目前正在与合作伙伴一起流片验证相关chiplet芯片高性能互联IP技术,和上下游合作厂家积极开展包括HBM技术在内的
    的头像 发表于 08-02 12:01 699次阅读

    探讨Chiplet封装的优势和挑战

    Chiplet,就是小芯片/芯粒,是通过将原来集成于同一系统单晶片中的各个元件分拆,独立为多个具特定功能的Chiplet,分开制造后再透过先进封装技术将彼此互联,最终集成封装为一系统晶
    发表于 07-06 11:28 549次阅读
    探讨<b class='flag-5'>Chiplet</b>封装的优势和挑战

    半导体Chiplet技术的优点和缺点

    组合成为特定功能的大系统。那么半导体Chiplet技术分别有哪些优点和缺点呢? 一、核心结论 1. 先进制程受限,先进封装/Chiplet提升算力,必有取舍。 在技术可获得的前提下,提升芯片
    的头像 发表于 06-25 16:35 1866次阅读
    半导体<b class='flag-5'>Chiplet</b>技术的优点和缺点

    先进封装Chiplet的优缺点与应用场景

    一、核心结论  1、先进制程受限,先进封装/Chiplet提升算力,必有取舍。在技术可获得的前提下,提升芯片性能,先进制程升级是首选,先进封装则锦上添花。 2、大功耗、高算力的场景,先
    发表于 06-13 11:38 805次阅读
    先进封装<b class='flag-5'>Chiplet</b>的优缺点与应用场景

    高算力时代 高性能封装承载IC产业创新

    ,与存量算力市场共同构成了芯片制造的未来市场蓝海。 当前,半导体产业链正致力于解决算力需求及背后的成本压力。在芯片成品制造环节,小芯片(
    的头像 发表于 06-09 16:10 371次阅读

    Chiplet架构的前世今生

    和异构计算也逐渐从头部大厂偶尔为之的惊鸿一现,演变为高性能芯片的新常态。 与此同时,一场席卷全球的AIGC竞赛,加剧了高性能芯片的需求。面对昂贵且一票难求的
    的头像 发表于 05-26 11:52 1460次阅读
    <b class='flag-5'>Chiplet</b>架构的前世今生