0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado的Implementation阶段约束报警告?

傅里叶的猫 来源:傅里叶的猫 2023-08-08 14:10 次阅读

前言:本文章为FPGA问答系列,我们会定期整理FPGA交流群(包括其他FPGA博主的群)里面有价值的问题,并汇总成文章,如果问题多的话就每周整理一期,如果问题少就每两周整理一期,一方面是希望能帮到不经常看群消息的小伙伴,另一方面也算是我们的技术积累。

Q:Vivado的Implementation阶段约束报警告?

[Vivado12-627]Noclocksmatched'sys_clk'.[timing.xdc:37](63morelikethis)

A:对于约束的问题,我们可以在Vivado的tcl中先执行一下这些约束指令,如果有问题的话会报出来的,然后就再将指令拆开执行,看是不是指令中的get_pins没有获取到正确的结果。

Q:画Pblock有什么讲究吗?

A:其实Pblock的原则都比较简单,首先Xilinx建议Pblock最好是矩形,其次如果需要画Pblock的module直接接到了pad上,那这个Pblock的位置要尽量靠近pad,减少走线延迟;当然Pblock的大小,肯定要比实际的资源数多一些,保证可以放下我们的module。再补充几点关于Pblock的知识,可能大家容易忽略的:

在画了Pblock后,只能保证module只使用Pblock内部的逻辑资源,不能保证其他模块使用该Pblock中的资源,也不能保证该模块不使用Pblock外部的布线资源;

在vivado的Tools->Floorplanning-> Place Pblocks,可以看到需要自动设置的Pblock

如果对于画Pblock始终没有明确的思路,那可以试试USER_CLUSTER这个属性,就是让工具自动布线更紧凑些

Q:Vivado中的smartConnect和InterConnect有什么区别?

A:这应该是很多工程师的困惑,都有了InterConnect,为啥又有smartConnect,首先直观上的体现就是smartConnect的接口要少,这个就不多说了。

AMD的官网上有个回复是:

BothIPhavethesamefunctionnalities.
AXISmartConnectisthesuccessortoAXIInterconnect,itusesadifferentIPtechnology.Youshoulduseitforanynewdesign.

在UG994中,有这样一段描述:

TheAMDLogiCOREIPAXIInterConnectandSmartConnectcoresbothconnectoneormoreAXImemory-mappedmasterdevicestooneormorememory-mappedslavedevices;however,theSmartConnectismoretightlyintegratedintotheVivadodesignenvironmenttoautomaticallyconfigureandadapttoconnectedAXImasterandslaveIPwithminimaluserintervention.TheAXIInterconnectcanbeusedinallmemory-mappeddesigns.

TherearecertaincasesforhighbandwidthapplicationwhereusingaSmartConnectprovidesbetteroptimization.TheSmartConnectIPdeliversthemaximumsystemthroughputatlowlatencybysynthesizingalowareacustominterconnectthatisoptimizedforimportantinterfaces.

因此有些场景下,SmartConnect比InterConnect的性能更好,延时更低,带宽更大。

责任编辑:彭菁

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21326

    浏览量

    593245
  • 带宽
    +关注

    关注

    3

    文章

    818

    浏览量

    40145
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65105

原文标题:Vivado中SmartConnect和InterConnect的区别?

文章出处:【微信号:傅里叶的猫,微信公众号:傅里叶的猫】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    VIVADO时序约束及STA基础

    时序约束的目的就是告诉工具当前的时序状态,以让工具尽量优化时序并给出详细的分析报告。一般在行为仿真后、综合前即创建基本的时序约束Vivado使用SDC基础上的XDC脚本以文本形式约束
    的头像 发表于 03-11 14:39 8842次阅读

    vivado:时序分析与约束优化

    的违例时间减少了1ns。 七:小编在尝试各种综合方案之后,发现并不能够优化很好,所以我们开始进行下一步操作——Run Implementation,看看通过Implementation VIVADO能够
    发表于 08-22 11:45

    vivado约束参考文档

    约束指令介绍)UG904 - Vivado Design Suite User Guide -Implementation UG906- Vivado Design Suite U
    发表于 09-26 15:35

    如何确保在Vivado实现阶段没有优化逻辑模块资源?

    优化时,现在要确保逻辑模块资源在Vivado实现阶段没有优化?以上来自于谷歌翻译以下为原文HiThe ISE synthesis and implementation are true
    发表于 10-24 15:23

    Vivado生成的XDC约束的严重警告

    使用Vivado 2015.4我生成了两个FIFO和一个Aurora Core。我收到与Vivado自动生成的时序约束相关的严重警告。由于我的FIFO在整个设计中被多次使用,我需要一种
    发表于 11-02 11:30

    Vivado忽略了约束文件

    出于某种原因,Vivado忽略了我的约束文件,当我尝试在tcl控制台中逐个输入约束时,我尝试分配的每个端口都会出现以下错误:set_property PACKAGE_PIN T19
    发表于 11-06 11:36

    在使用Vivado GUI实现和分配引脚信息后xdc约束文件中看不到结果

    在使用Vivado GUI实现和分配引脚信息后,我没有在xdc约束文件中看到结果。例如,引脚和iostandard。他们在哪里攒钱?以上来自于谷歌翻译以下为原文After
    发表于 11-07 11:24

    Vivado陷入了实施阶段4.1.1

    嗨,Vivado(1016.04)实现有时会在阶段4.1.1中陷入route_design(-directive default),即使没有任何问题的迹象。在此消息之后它永远不会继续:阶段4.1.1
    发表于 11-12 14:36

    运行vivado时出现错误消息

    。 write_bitstream失败错误:[Common 17-345]找不到功能'Implementation'和/或设备'xc7v2000t'的有效许可证。请运行Vivado License Manager以
    发表于 12-25 11:00

    Xilinx工具vivado使用约束命令时出现警告的解决办法?

    (TX_CLK_o)。我想使用下面的约束命令来设置时钟转发,但我在合成时发现了警告警告是什么意思?// constraints命令create_generated_clock -name TX_CLK_o
    发表于 05-04 08:04

    ISE约束导入vivado总共分几步

    最近有些朋友在ISE中做的V7项目需要切换到vivado来,但导入代码后,导入约束时,发现vivado不再支持UCF文件,如果手抄UCF约束VI
    发表于 03-24 13:54 8575次阅读
    ISE<b class='flag-5'>约束</b>导入<b class='flag-5'>vivado</b>总共分几步

    Vivado中XDC文件的约束顺序

    很对人在使用Vivado时喜欢使用多个约束文件对整个工程进行约束,同时Vivado允许设计者使用一个或多个约束文件。虽然使用一个
    的头像 发表于 10-13 16:56 6376次阅读

    Vivado设计约束功能概述

    XDC约束可以用一个或多个XDC文件,也可以用Tcl脚本实现;XDC文件或Tcl脚本都要加入到工程的某个约束集(set)中;虽然一个约束集可以同时添加两种类型约束,但是Tcl脚本不受
    的头像 发表于 06-30 11:27 2985次阅读

    如何在Vivado中添加时序约束呢?

    今天介绍一下,如何在Vivado中添加时序约束Vivado添加约束的方法有3种:xdc文件、时序约束向导(Constraints Wiza
    的头像 发表于 06-26 15:21 2248次阅读
    如何在<b class='flag-5'>Vivado</b>中添加时序<b class='flag-5'>约束</b>呢?

    Vivado综合阶段什么约束生效?

    Vivado综合默认是timing driven模式,除了IO管脚等物理约束,建议添加必要的时序约束,有利于综合逻辑的优化,同时综合后的design里面可以评估时序。
    的头像 发表于 07-03 09:03 446次阅读