0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Cadence 推出 Joules RTL Design Studio,将 RTL 生产力和结果质量提升到新的高度

Cadence楷登 来源:未知 2023-07-17 10:10 次阅读

内容提要

将 RTL 收敛速度加快 5 倍,结果质量改善 25%

RTL 设计师可快速准确地了解物理实现指标,根据提供的指引有效提升 RTL 性能

Cadence Cerebrus 和 Cadence JedAI Platform 集成,实现 AI 驱动的 RTL 优化

中国上海,2023 年 7 月 17 日 —— 楷登电子(美国 Cadence 公司NASDAQ:CDNS)近日宣布推出 CadenceJoules RTL Design Studio,这款新的解决方案可为用户提供实用的洞察,有助于加快寄存器传输级(RTL)设计和实现流程。前端设计人员可以在一个统一的界面使用数字设计分析和调试功能,在进入实现阶段之前全面优化 RTL 设计。借助这一解决方案,用户可以通过 Cadence 领先的 AI 产品系列,利用生成式 AI 进行 RTL 设计探索和大数据分析。Joules RTL Design Studio 有助于用户快速准确地得出物理估计值,最多可将 RTL 生产力提升 5 倍,并实现高达 25% 的结果质量(QoR)改善。

Joules RTL Design Studio 扩充了 Cadence 现有的 Joules RTL Power Solution 解决方案,通过增加对功率、性能、面积和拥塞(PPAC)的可见性,覆盖了物理设计的方方面面。此外,这款新工具还附带一系列有助于提升生产力的功能和优势,包括:

1

独树一帜的智能 RTL 调试辅助系统:提供早期 PPAC 指标,在整个设计周期(逻辑、物理、生产实现)内提供实用的调试信息,帮助工程师进行假设分析,探索潜在的解决方案,尽量减少迭代,提升设计性能。

2

依托成熟引擎:Joules RTL Design Studio 与 Innovus Implementation System、Genus Synthesis Solution 和 Joules RTL Power Solution 共用相同的强大引擎,用户可通过同一个 GUI 访问所有分析和设计探索功能,优化结果质量。

3

集成强大的 AI 技术:Joules RTL Design Studio 与生成式 AI 解决方案 Cadence Cerebrus Intelligent Chip Explorer 集成,用于探索不同的设计空间场景,如布线图优化、权衡频率和电压。此外,Cadence Joint Enterprise Data and AI(JedAI) Platform 可针对不同的 RTL 版本或前几代项目进行趋势和洞察分析。

4

集成 lint 检查器:工程师可以循序渐进地运行 lint 检查器,提前排除数据和设置问题,减少错误并缩短设计完成时间。

5

统一界面:给 RTL 设计人员带来了友好高效的使用体验,反馈物理实现情况,定位并分类违例问题,分析瓶颈所在,以及 RTL、原理图和 layout 交互查询。

wKgZomToDXeAe4zhAAADPvK6pBA510.jpg

“现在,RTL 设计人员可以快速获取 PPAC 调试所需的所有物理信息。以往,他们只能等到实现阶段才能获得这些信息,而这个过程短则几天,长则数周,”Cadence 高级副总裁兼数字与签核事业部总经理 Chin-Chi Teng 博士表示,“Joules RTL Design Studio 让设计人员可以尽早发现并及时解决各种挑战,最终加快产品上市。我们的此番努力再次兑现了我们的初始目标:将 RTL 收敛速度提升 5 倍,并实现 25% 的结果质量改善。”

Joules RTL Design Studio 是更广泛的 Cadence 数字全流程的一部分,助力客户加快设计收敛。新推出的工具和更广泛的流程支持公司的智能系统设计(Intelligent System Design)战略,旨在实现系统级芯片(SoC)卓越设计。

如需详细了解

Joules RTL Design Studio 请访问

www.cadence.com/go/joulesrtldspr

(您可复制至浏览器或点击阅读原文打开)

wKgZomToDXeAYGGlAAADafBrU1A395.png

客户反馈

“我们的工程师实现了高效的分析,将生产力提高了 2-3 倍,大大减少了 RTL 设计师和实现团队之间的迭代。Joules RTL Design Studio 为我们提供了一种强大、高效的方法,使我们可以根据逻辑和物理原因查找时序违例问题并对其进行分类,还可以执行瓶颈分析,对 RTL、原理图和 layout 进行交叉查询。与我们之前使用的从前至后的设计流程相比,我们现在能够更早发现设计问题。将其与 Cadence 数字全流程(Genus Synthesis Solution、Innovus Implementation System 和 Tempus Timing Signoff Solution)一起使用,有助于显著缩短我们的设计工期。除了目前正在进行的设计外,我们还计划使用 Joules RTL Design Studio 来提高未来项目的设计效率。”

—— Shunji Katsuki

general manager,

SoC System Development Division

Global Development Group,Socionext

“我们的 RTL 设计团队致力于打造优异的硅产品,以更高的性能和更低的功耗提供更智能的用户体验。要想实现这一目标,他们需要基于对功率、性能、面积和拥塞的早期估计做出设计决策。Joules RTL Design Studio 能够实现精确的物理原型验证,让我们的设计人员可以信心满满地大胆创新,减少了前端和后端团队之间的迭代,因此联发科可以更快地将各种独具优势的产品推向市场。”

——Harrison Hsieh

senior general manager of Silicon Product Development, MediaTek

“在设计周期的早期阶段找到 RTL 瓶颈对于 IP 开发至关重要,这有助于实现快速更新,提高 RTL 质量并改善 PPA 结果。特别是对于 Arm,Joules RTL Design Studio 可以帮助我们找到与拥塞和深层逻辑相关的问题所在,从而节省寻找根本原因的大量时间。”

—— Mark Galbraith

vice president of Productivity Engineering,Arm

“随着系统级芯片的功耗密度不断增加,高能效设计的重要性与日俱增。为此,我们在进一步增强 RTL 层面的优化方面付出了不懈努力。现在,通过利用 Cadence 的 Joules RTL Design Studio,我们能够在设计阶段的早期进行高效准确的功率细化分析。它能够进行功耗预测,助力我们快速完成 RTL 优化迭代,确保设计团队显著加速 RTL 优化。”

—— Zejian CAI

COT Methodology,T-Head,Alibaba

关于 Cadence

Cadence 是电子系统设计领域的关键领导者,拥有超过 30 年的计算软件专业积累。基于公司的智能系统设计战略,Cadence 致力于提供软件、硬件和 IP 产品,助力电子设计概念成为现实。Cadence 的客户遍布全球,皆为最具创新能力的企业,他们向超大规模计算、5G 通讯、汽车、移动设备、航空、消费电子工业和医疗等最具活力的应用市场交付从芯片、电路板到完整系统的卓越电子产品。Cadence 已连续九年名列美国财富杂志评选的 100 家最适合工作的公司。如需了解更多信息,请访问公司网站www.cadence.com。

2023 Cadence Design Systems, Inc. 版权所有。在全球范围保留所有权利。Cadence、Cadence 徽标和 www.cadence.com/go/trademarks 中列出的其他 Cadence 标志均为 Cadence Design Systems, Inc. 的商标或注册商标。所有其他标识均为其各自所有者的资产。


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Cadence
    +关注

    关注

    62

    文章

    881

    浏览量

    140791

原文标题:Cadence 推出 Joules RTL Design Studio,将 RTL 生产力和结果质量提升到新的高度

文章出处:【微信号:gh_fca7f1c2678a,微信公众号:Cadence楷登】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    如何通过优化RTL减少功耗

    对于功耗估算来说,架构阶段为时过早,物理设计阶段为时已晚。有一种趋势是在项目的RTL阶段分析power hot spots。与后期分析相比,基于 RTL 的功耗分析更快、更容易执行,迭代时间更短。
    的头像 发表于 04-05 09:15 1404次阅读
    如何通过优化<b class='flag-5'>RTL</b>减少功耗

    新质生产力哪些行业发展最好 如何提升新质生产力

    成果。 新质生产力生产力现代化的具体体现,即新的高水平现代化生产力(新类型、新结构、高技术水平、高质量、高效率、可持续的生产力),相比于传
    的头像 发表于 02-22 17:57 2629次阅读

    数字前端生存指南—RTL

    在数字前端领域,RTL几乎与“设计代码”概念相同。
    的头像 发表于 12-04 10:14 3638次阅读
    数字前端生存指南—<b class='flag-5'>RTL</b>

    5V脉冲信号怎么可以提升到28V脉冲?

    PCF8591,5V脉冲信号怎么可以提升到28V脉冲?
    发表于 10-25 08:29

    请问单片机如何控制5V脉冲信号提升到28V脉冲?

    单片机如何控制5V脉冲信号提升到28V脉冲?
    发表于 10-20 06:23

    从可综合的RTL代码的角度聊聊interface

    SystemVerilog引入了interface,这里我们从可综合的RTL代码的角度聊聊interface。
    的头像 发表于 10-12 09:06 889次阅读
    从可综合的<b class='flag-5'>RTL</b>代码的角度聊聊interface

    RTL8211F参考原理图

    RTL8211F参考原理图
    发表于 10-11 15:26 40次下载

    RTL8305光纤收发器原理图

    RTL8305光纤收发器原理图
    发表于 10-11 15:25 8次下载

    开始报名!CadenceTECHTALK:使用 Cadence 托管云服务将生产力极速提升至新高度

    CadenceTECHTALK:使用 Cadence 托管云服务将生产力极速提升至新高度报名通道已经开启!通过本次线上网络研讨会,您将了解到一款经由
    的头像 发表于 10-09 19:25 319次阅读
    开始报名!CadenceTECHTALK:使用 <b class='flag-5'>Cadence</b> 托管云服务将<b class='flag-5'>生产力</b>极速<b class='flag-5'>提升</b>至新<b class='flag-5'>高度</b>

    RTL电路的设计原则和方法

    既然RTL是寄存器传输级电路,那么电路设计就一定是以寄存器的特性为基础进行设计。
    的头像 发表于 07-13 16:17 3871次阅读
    <b class='flag-5'>RTL</b>电路的设计原则和方法

    MQTT瑞昱Ameba RTL8195开源分享

    电子发烧友网站提供《MQTT瑞昱Ameba RTL8195开源分享.zip》资料免费下载
    发表于 07-06 11:28 1次下载
    MQTT瑞昱Ameba <b class='flag-5'>RTL</b>8195开源分享

    Cadence基于AI的Cadence Virtuoso Studio设计工具获得认证

    与 Pegasus Verification System 和 Voltus-XFi Solution 集成,为 Samsung PDK 用户提高了生产力,帮助他们更快地将高质量设计推向市场 中国上海
    的头像 发表于 06-30 10:08 776次阅读

    RTL模块添加到Block Design的步骤

    使用Vivado Block Design设计解决了项目继承性问题,但是还有个问题,不知道大家有没有遇到,就是新设计的自定义 RTL 文件无法快速的添加到Block Design中,一种方式是通过
    的头像 发表于 06-11 16:27 2309次阅读
    将<b class='flag-5'>RTL</b>模块添加到Block <b class='flag-5'>Design</b>的步骤

    把算法用RTL实现该怎么做?

    “把算法用RTL实现,怎么做?” 这个问题,对于芯片设计工程师、芯片算法工程师、FPGA工程师来讲,是非常重要的问题。 算法时代来临, 学习 将算法转化为RTL电路 可以提升职场竞争力,提升
    的头像 发表于 06-02 15:35 558次阅读

    RTL8211F(I)-CG_RTL8211FD(I)-CG.P以太网收发器

    Realtek RTL8211F-CG/RTL8211D-CG/RTL 8211FI-CG/RTL8211FDI-CG是一款高度集成的符合1
    发表于 05-15 10:16 54次下载