0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

高效扩充样本库 | AIDG AI 样本生成器 2.0 焕新而来

阿丘科技 2023-05-08 09:41 次阅读

高质量样本有利于快速构建理想的深度学习模型,然而在动力电池、3C电子、汽车制造等高良率的生产场景中,想要获取足够数量的缺陷样本用于AI品质检测,可能需要做出额外的努力。

用图像处理软件制作缺陷样本——效率低

在产线等待缺陷样本收集完整——耗时长

将完好的产品破坏造成不良品——成本高

此外,还需要培养专业标注团队,标注大量样本,这将严重拖延项目进度

针对缺陷样本难收集、高质量标注难实现等问题

阿丘推出了AIDG,助您高效扩充样本库

AIDG(ArtificialIntelligentDataGenerator)AI样本生成器是一款智能图像生成软件,支持生成不同位置和形状的缺陷,实现项目数据的快速扩充,可适用于各种应用场景,帮助用户高效完成模型迭代。


AIDG

在项目周期各重要节点都发挥着大作用

模型快速构建

在初版模型构建时,由于产线良率较高或时间有限,缺陷图像难以达到目标数量,可以使用AIDG生成缺陷图像作为训练集,实现模型快速构建。

模型快速迭代

模型上线迭代,突发过检或漏检特征时,可以通过AIDG生成包含类似特征的图像,平衡训练集缺陷特征,短时间内降低过漏检,实现模型快速迭代。

模型快速部署

产品变更型号或更换产线时,可以利用相关型号产品和产线的图像,通过AIDG生成缺陷仿真图像,用于新产品或产线模型的训练集,实现模型快速部署。

AIDG2.0 焕新而来

算法更高效、操作更简单

模拟效果更逼真

内置基于工业缺陷检测领域多年探索和实践的预训练模型,结合StableDiffusion框架,高度还原真实缺陷纹理、立体度和色彩细节

835402fe-ea5c-11ed-ba01-dac502259ad0.png

应用场景更丰富

可以生成各种缺陷类型的仿真图,同时支持对多个缺陷类型进行组合,适应复杂结构缺陷、背景变化、缺陷边缘处理等多样场景

83622cc6-ea5c-11ed-ba01-dac502259ad0.png836d9ce6-ea5c-11ed-ba01-dac502259ad0.png

使用流程更便捷

采用全新高效的AI算法方案,仅需3-5张真实缺陷图,2步操作,10分钟即可生成大量缺陷仿真图。

新增【缺陷迁移】功能

83780ae6-ea5c-11ed-ba01-dac502259ad0.png

将保存至缺陷库的真实缺陷,放置于良品图上,通过旋转/形变后,生成形状各异的缺陷仿真图。

• 升级【缺陷合成】功能

8380dfa4-ea5c-11ed-ba01-dac502259ad0.png

生成缺陷模型后,支持自由绘制缺陷可能出现的区域,并自动在该区域随机生成仿真缺陷。

生成后的缺陷仿真图已完成自动标注,可直接导入阿丘视觉检测软件平台AIDI使用,减少标注成本。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • AI
    AI
    +关注

    关注

    87

    文章

    26480

    浏览量

    264108
  • 深度学习
    +关注

    关注

    73

    文章

    5240

    浏览量

    119936
收藏 人收藏

    评论

    相关推荐

    Minitab 交互式表格生成器

    生成器
    MinitabUG
    发布于 :2024年04月03日 15:58:54

    RL78的代码生成器发布说明

    电子发烧友网站提供《RL78的代码生成器发布说明.pdf》资料免费下载
    发表于 01-30 09:48 0次下载
    RL78的代码<b class='flag-5'>生成器</b>发布说明

    TSMaster报文发送的信号生成器操作说明

    信号生成器功能是TSMaster分析中的报文发送模块。信号生成器用于发送和配置每个CAN/LIN信号的值变化行为,简而言之,这是一个可以控制和调整CAN/LIN信号值的功能。我们可选择的信号生成器
    的头像 发表于 12-23 08:21 335次阅读
    TSMaster报文发送的信号<b class='flag-5'>生成器</b>操作说明

    真随机数生成器TRNG外设模块应用要点

    国产车规微控制器原厂云途半导体设计和发售的YTM32ME微控制器上集成的真随机数生成器TRNG(True Random Number Generator)外设模块
    的头像 发表于 11-08 14:52 818次阅读
    真随机数<b class='flag-5'>生成器</b>TRNG外设模块应用要点

    Python生成器的使用方法

    举一个例子,编写一个函数计算一串数字里所有偶数的个数,其实是很简单的问题,但是有些人是用生成器这么写的: In [ 66 ]: def f1 ( x ): ....: return sum
    的头像 发表于 11-03 15:41 257次阅读

    什么是零样本学习?为什么要搞零样本学习?

    样本分类的技术目前正处于高速发展时期, 所涉及的具体应用已经从最初的图像分类任务扩展到了其他计算机视觉任务乃至自然语言处理等多个相关领域。 对此, 本文将其称为广义零样本分类。 相应地, 我们将针对图像分类任务的零样本分类任务
    发表于 09-22 11:10 1061次阅读
    什么是零<b class='flag-5'>样本</b>学习?为什么要搞零<b class='flag-5'>样本</b>学习?

    STM32MP1系列密钥生成器软件说明

    电子发烧友网站提供《STM32MP1系列密钥生成器软件说明.pdf》资料免费下载
    发表于 09-20 10:36 0次下载
    STM32MP1系列密钥<b class='flag-5'>生成器</b>软件说明

    NUC240_FreeRTOS修改BSP样本代码

    应用程序: FreeRTOS BSP 版本: NUC240 BSP CMSIS v3.01.001 硬件: NuTiny-EVB-NUC240 V2.0 示例代码 NUC240_FreeRTOS
    发表于 08-31 10:05

    Python中的生成器是什么?它们的效率和可用性如何?

    Python 是一种以灵活性和多功能性着称的语言,它提供了一种称为生成器的强大功能。
    发表于 07-19 14:33 326次阅读

    Versal GTY/GTYP:使用PRBS生成器和检查器

    本文涵盖了在 Versal GTY 和 GTYP 中使用模式生成器和检查器时对以下操作的限制
    的头像 发表于 07-10 17:18 717次阅读

    IzoT 资源报告生成器用户指南

    IzoT 资源报告生成器用户指南
    发表于 07-04 20:46 0次下载
    IzoT 资源报告<b class='flag-5'>生成器</b>用户指南

    将使用代码生成器生成的项目移植到与智能配置器一起使用的项目

    将使用代码生成器生成的项目移植到与智能配置器一起使用的项目
    发表于 06-30 20:54 0次下载
    将使用代码<b class='flag-5'>生成器</b><b class='flag-5'>生成</b>的项目移植到与智能配置器一起使用的项目

    i.MX8QM真随机数生成器符合什么要求?

    大家好, 我们的客户要求我们指定一个真正的随机数生成器。 i.MX8QM 真随机数生成器符合什么要求? 以下是对 SE050 中的随机数生成器的描述。除此之外,请告诉我 i.MX8 中的真正随机数
    发表于 05-18 06:13

    个性化地定制自己的uvm代码生成器模板和脚本

    使用uvm代码生成器创建基本的uvm验证环境框架,然后丢弃代码生成器模板并扩展和维护生成出来的代码。尽管uvm代码生成器仅在项目的初始阶段使用,然后被丢弃,但仍然提高了验证的效率。
    的头像 发表于 05-14 16:51 1305次阅读
    个性化地定制自己的uvm代码<b class='flag-5'>生成器</b>模板和脚本

    代码生成器配置和软件UART的实现

    (RL78)上的具体的实现方法,这里略去工程的建立过程,相应的驱动程序细节可以参考代码生成器生成的代码,这里只重点讲述代码生成器配置和软件UART的实现。
    的头像 发表于 05-09 09:25 911次阅读
    代码<b class='flag-5'>生成器</b>配置和软件UART的实现