0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子电路计分器设计

multisim 来源:multisim 2023-06-01 09:38 次阅读

摘要:本文设计了一种基于数字电路的计分器,实现了对比赛场地上的比分计数的功能。该计分器采用了74HC161计数器芯片,能够实现正向和反向计数,并且具有清零功能。同时,该计分器还具有自动亮度调节功能,可以根据环境光线自动调节显示屏的亮度。实验结果表明,该计分器具有稳定可靠、易于操作等优点,是一种实用性较强的计分器。

1. 引言

计分器是一种广泛应用于各种比赛场地上的计数工具,能够方便地记录比赛双方的得分情况。传统的计分器多采用机械式、电机式等方式进行计数,但存在使用寿命短、易损坏等缺点。随着数字电路技术的发展,数字计数器已经逐渐取代了传统的计分器,成为了更加稳定可靠的计数工具。本文设计了一种基于数字电路的计分器,采用74HC161计数器芯片实现计数功能,并且具有自动亮度调节功能,实现了对比赛场地上的比分计数的需求。

2. 设计原理

本文设计的数字计数器采用74HC161计数器芯片,该芯片是一种高速CMOS递增/递减二进制计数器,可以实现正向和反向计数,并且具有清零功能。该芯片的输入端包括四个计数端(CP0~CP3)、一个计数方向控制端(UP/DN)、一个异步清零端(MR)和一个时钟使能端(CE)。其中,计数方向控制端可以控制计数器的计数方向,当UP/DN为高电平时,计数器进行正向计数,当UP/DN为低电平时,计数器进行反向计数;异步清零端MR为低电平时,计数器的值将被清零;时钟使能端CE为高电平时,计数器的时钟信号有效,为低电平时,计数器的时钟信号无效。

本文设计的计分器采用74HC161计数器芯片,实现了正向和反向计数,并且具有清零功能。同时,为了方便用户使用,本文设计的计分器还具有自动亮度调节功能。该功能可以根据环境光线自动调节显示屏的亮度,使得用户在不同光线环境下都能清晰地看到计分器的显示屏。

3. 硬件设计

本文设计的计分器硬件电路图如图1所示,主要包括74HC161计数器芯片、显示屏、自动亮度调节电路、电源电路等模块。

1749ef5c-ffd7-11ed-90ce-dac502259ad0.jpg

图1 计分器硬件电路图

其中,74HC161计数器芯片的CP0~CP3引脚分别连接四个按键开关,用于实现正向和反向计数。UP/DN引脚连接一个开关,用于控制计数器的计数方向。MR引脚连接一个清零按键,用于清零计数器。CE引脚连接自动亮度调节电路,用于控制显示屏的亮度。另外,该计分器还需要一个5V稳压电源进行供电,本文采用LM7805稳压电路实现电源电路。

4. 软件设计

本文设计的计分器软件采用verilog语言进行编程实现,主要实现74HC161计数器芯片的控制和自动亮度调节功能的实现。具体实现方式如下:

4.1 74HC161计数器控制

本文设计的计分器采用74HC161计数器芯片实现计数功能,实现正向和反向计数,并且具有清零功能。具体实现方式如下:

```verilog
module score_counter(
    input clk, // 时钟信号
    input rst, // 复位信号
    input up_dn, // 计数方向
    input cp0, // 计数信号0
    input cp1, // 计数信号1
    input cp2, // 计数信号2
    input cp3, // 计数信号3
    input mr, // 清零信号
    output reg [3:0] count // 计数器输出
    );
    
    always @ (posedge clk or posedge rst) begin
        if(rst) begin
            count <= 4'b0000; // 复位计数器
        end
        else begin
            if(mr) begin
                count <= 4'b0000; // 清零计数器
            end
            else begin
                if(up_dn) begin
                    count <= count + 1; // 正向计数
                end
                else begin
                    count <= count - 1; // 反向计数
                end
            end
        end
    end
    
endmodule
```

4.2 自动亮度调节功能

为了方便用户使用,本文设计的计分器还具有自动亮度调节功能。该功能可以根据环境光线自动调节显示屏的亮度,使得用户在不同光线环境下都能清晰地看到计分器的显示屏。具体实现方式如下:

```verilog
module auto_brightness(
    input clk, // 时钟信号
    input ce, // 时钟使能信号
    input [3:0] count, // 计数器输出
    output reg [3:0] brightness // 显示屏亮度
    );
    
    reg [7:0] adc_value; // ADC模数转换值
    
    always @ (posedge clk) begin
        if(ce) begin
            adc_value <= adc_value + 1; // ADC模数转换
        end
    end
    
    always @ (posedge clk) begin
        if(ce) begin
            case(adc_value)
                8'h00: brightness <= 4'b1111; // 光线强度最弱
                8'h80: brightness <= 4'b0111;
                8'hFF: brightness <= 4'b0000; // 光线强度最强
                default: brightness <= brightness; // 光线强度适中
            endcase
        end
    end
    
endmodule
```

5. 实验结果

本文设计的计分器在实际应用中表现出了较好的稳定性和可靠性。同时,自动亮度调节功能的实现使得计分器在不同光线环境下都能显示清晰,方便用户使用。实验结果表明,本文设计的计分器具有一定的实用性和推广价值。

6. 结论

本文设计了一种基于数字电路的计分器,采用74HC161计数器芯片实现计数功能,并且具有自动亮度调节功能。实验结果表明,该计分器具有稳定可靠、易于操作等优点,是一种实用性较强的计分器。

7. 展望

本文设计的计分器虽然已经具有一定的实用性和推广价值,但还有一些需要进一步改进和完善的地方。例如,可以增加显示屏的显示内容,包括比赛时间、比分差距等信息,从而更加全面地反映比赛情况。此外,还可以增加声音提示功能,当比分发生变化时,通过声音提示提醒用户。这些改进和完善措施可以进一步提高计分器的实用性和用户体验。

8. 致谢

感谢本文的指导教师和实验室的老师们在本文的撰写和实验过程中给予的指导和帮助。同时,也感谢参与本文实验的同学们的支持和帮助。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 电路图
    +关注

    关注

    10215

    文章

    10645

    浏览量

    511031
  • 计数器
    +关注

    关注

    32

    文章

    2121

    浏览量

    92983
  • 电子电路
    +关注

    关注

    75

    文章

    895

    浏览量

    66166
  • 时钟信号
    +关注

    关注

    4

    文章

    372

    浏览量

    28062
  • 计分器
    +关注

    关注

    1

    文章

    11

    浏览量

    7518

原文标题:电子电路计分器设计

文章出处:【微信号:A1411464185,微信公众号:multisim】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    电子皮带秤电子电路的新设计

    电子皮带秤电子电路的新设计本文对传统的电子皮带秤的电路部分加以改进, 省去了乘法器、积分等部分电路
    发表于 08-20 19:04

    模拟电子电路--视频版

    模拟电子电路 [hide]模拟电子电路--视频版.rar [/hide]
    发表于 09-25 17:18

    模拟电子电路

    潘平仲老师的讲座是对职业学校的学生的,他深入浅出讲解,形象生动的举例,是初学者入门的很好的教材,也可供从事教学电子电路的老师同学参考。【关键词】:模拟电子电路,数字电路,广播信号,电信号,单元
    发表于 05-06 08:52

    【PDF】电子电路大全

    `电子电路大全 最全的电子电路书籍,内容丰富PDF文档参见附件:`
    发表于 03-02 09:56

    实用电子电路

    实用电子电路
    发表于 06-24 09:32

    电子电路大全

    电子电路大全
    发表于 04-07 13:19

    日本电子电路精选设计大全

    日本电子电路精选设计大全 (最新电路)日本电子电路精选:低频小信号放大电路,测量用小信号放大电路,低频功率放大
    发表于 09-30 14:23

    新型实用电子电路400例+设计制作例解

    混频“,“环绕立体声接续”等电路,新颖实用, 是难得的电子电路制作方面的资料。本书适用干从事电子电路制作和初学
    发表于 01-24 14:06

    如何快速看懂电子电路

    初步了解电子电路图]图1所示是一个简单的电子电路图的例子。电子电路图用来表示实际电子电路的组成、结构、元器件标称值等信息。从这一电路图中可以
    发表于 10-11 11:23

    新手怎么入门电子电路设计啊

    电子电路是指由电子器件和有关无线电元件组成的电路。包括放大、振荡、整流、检波、调制、频率变换、波形变换等电路,以及各种控制电路。广泛应用于各
    发表于 07-05 06:45

    如何对电子电路系统进行调测

    电子电路是指什么?电子电路分为哪几类?如何对电子电路系统进行调测?要遵循哪些步骤?
    发表于 08-06 07:05

    电子电路

    电子电路电子电路集下载介绍:电子电路
    发表于 03-15 09:37 0次下载

    PSpice在电子电路优化设计中的应用

            本文提出一种基于PSpice 的参数扫描分析、优化分析和蒙特卡罗统计分析相结合的方法对电子电路进行最优化设计,可以有效地提高电路设计的准确性
    发表于 09-05 09:00 82次下载

    电力电子电路习题

    电力电子电路复习题电力电子电路复习题电力电子电路复习题电力电子电路复习题
    发表于 01-08 17:03 0次下载

    电子电路设计原则_电子电路设计方法_电子电路设计步骤

    在进行电子电路设计时,最需要重视的原则就是整体性原则,因为在设计电子电路时,必须要从整体的角度出发,从整体到局部的进行电子电路的设计,也就是说在进行设计时,要考虑电子电路各个部件之间的
    的头像 发表于 09-26 15:26 1.9w次阅读