0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

IIC总线的FPGA实现原理及过程

FPGA设计论坛 来源:FPGA设计论坛 作者:FPGA设计论坛 2023-05-15 02:35 次阅读

IIC总线的FPGA实现原理及过程

IIC总线概述

IIC开发于1982年,当时是为了给电视机内的CPU和外围芯片提供更简易的互连方式。电视机是早的嵌入式系统之一,而初的嵌入系统是使用内存映射(memory-mappedI/O)的方式来互连微控制器和外围设备的。要实现内存映射,设备必须并行连入微控制器的数据线和地址线,这种方式在连接多个外设时需大量线路和额外地址解码芯片,很不方便并且成本高。

为了节省微控制器的引脚和和额外的逻辑芯片,使印刷电路板更简单,成本更低,位于荷兰的Philips实验室开发了IIC(Inter-IntegratedCircuit),它是由数据线SDA和时钟线SCL两根线构成的串行总线,可发送和接收数据。在CPU与被控IC之间、IC与IC之间进行双向传送

IIC数据传输速率有标准模式(100kbps)、快速模式(400kbps)和高速模式(3.4Mbps),另外一些变种实现了低速模式(10kbps)和快速+模式(1Mbps)

IIC总线硬件结构

IIC即I2C,是一种总线结构。

每一个I2C总线器件内部的SDA、SCL引脚电路结构都是一样的,引脚的输出驱动与输入缓冲连在一起。其中输出为漏极开路的场效应管、输入缓冲为一只高输入阻抗的同相器。这种电路具有两个特点:

由于SDA、SCL为漏极开路结构,借助于外部的上拉电阻实现了信号的“线与”逻辑;

引脚在输出信号的同时还能对引脚上的电平进行检测,检测是否与刚才输出一致。为“时钟同步”和“总线仲裁”提供硬件基础。

e4ed486e-f285-11ed-90ce-dac502259ad0.jpg

IIC总线典型应用

IIC设备典型应用:

e50f0382-f285-11ed-90ce-dac502259ad0.jpg

物理结构上,IIC系统由一条串行数据线SDA和一条串行时钟线SCL组成。主机按一定的通信协议向从机寻址和进行信息传输。在数据传输时,由主机初始化数据传输,主机使数据在SDA线上传输的同时还通过SCL线传输时钟。信息传输的对象和方向以及信息传输的开始和终止均由主机决定。

每个器件都有一个的地址,而且可以是单接收的器件(例如:LCD驱动器)或者可以接收也可以发送的器件(例如:存储器)。发送器或接收器可以在主模式或从模式下操作,这取决于芯片是否必须启动数据的传输还是仅仅被寻址。

IIC总线的FPGA实现原理及过程

一、实验平台

软件平台:ModelSim-Altera 6.4a (Quartus II 9.0)

硬件平台:DIY_DE2

二、实验原理

1、IIC总线器件工作原理

在IIC总线上传送信息时的时钟同步信号是由挂接在SCL时钟线上的所有器件的逻辑“与”完成的。SCL线上由高电平到低电平的跳变将影响到这些器件,一旦某个器件的时钟信号变为低电平,将使SCL线上所有器件开始并保护低电平期。此时,低电平周期短的器件的时钟由低至高的跳变并不影响SCL线的状态,这些器件将进入高电平等待的状态。

当所有器件的时钟信号都变为高电平时,低电平期结束,SCL线被释放返回高电平,即所有的器件都同时开始它们的高电平期。其后,个结束高电平期的器件又将SCL线拉成低电平。这样就在SCL线上产生一个同步时钟。可见,时钟低电平时间由时钟低电平期长的器件决定,而时钟高电平时间由时钟高电平期短的器件决定。

IIC总线上数据的传输速率在标准模式下可达100kbit/s 在快速模式下可达400kbit/s 在高速模式下可达3.4Mbit/s ,连接到总线的接口数量只由总线电容是400pF 的限制决定。

2、IIC总线的传输协议与数据传送时序

(1)起始和停止条件

在数据传送过程中,必须确认数据传送的开始和结束。在IIC总线技术规范中,开始和结束信号(也称启动和停止信号)的定义如图1所示。

e52da4c2-f285-11ed-90ce-dac502259ad0.jpg

图1起始和停止信号图

开始信号:当时钟总线SCL为高电平时,数据线SDA由高电平向低电平跳变,开始传送数据。

结束信号:当SCL线为高电平时,SDA线从低电平向高电平跳变,结束传送数据。

开始和结束信号都是由主器件产生。在开始信号以后,总线即被认为处于忙状态,其它器件不能再产生开始信号。主器件在结束信号以后退出主器件角色,经过一段时间过,总线被认为是空闲的。

(2)数据格式

IIC总线数据传送采用时钟脉冲逐位串行传送方式,在SCL的低电平期间,SDA线上高、低电平能变化,在高电平期间,SDA上数据必须保护稳定,以便接收器采样接收,时序如图2所示。

e545d48e-f285-11ed-90ce-dac502259ad0.jpg

图2 数据传送时序图

IIC总线发送器送到SDA线上的每个字节必须为8位长,传送时高位在前,低位在后。与之对应,主器件在SCL线上产生8个脉冲;第9个脉冲低电平期间,发送器释放SDA线,接收器把SDA线拉低,以给出一个接收确认位;第9个脉冲高电平期间,发送器收到这个确认位然后开始下一字节的传送,下一个字节的个脉冲低电平期间接收器释放SDA。每个字节需要9个脉冲,每次传送的字节数是不受限制的。

IIC总线的数据传送格式是在IIC总线开始信号后,送出的字节数据是用来选择从器件地址的,其中前7位为地址码,第8位为方向位(R/W)。方向位为“0”表示发送,即主器件把信息写到所选择的从器件中;方向位为“1”表示主器件将从从器件读信息。格式如下:

开始信号后,系统中的各个器件将自己的地址和主器件送到总线上的地址进行比较,如果与主器件发送到总线上的地址一致,则该器件即被主器件寻址的器件,其接收信息还是发送信息则由第8位(R/W)决定。发送完个字节后再开始发数据信号。

(3)响应

数据传输必须带响应。相关的响应时钟脉冲由主机产生,当主器件发送完一字节的数据后,接着发出对应于SCL线上的一个时钟(ACK)认可位,此时钟内主器件释放SDA线,一字节传送结束,而从器件的响应信号将SDA线拉成低电平,使SDA在该时钟的高电平期间为稳定的低电平。从器件的响应信号结束后,SDA线返回高电平,进入下一个传送周期。

通常被寻址的接收器在接收到的每个字节后必须产生一个响应。当从机不能响应从机地址时,从机必须使数据线保持高电平,主机然后产生一个停止条件终止传输或者产生重复起始条件开始新的传输。如果从机接收器响应了从机地址但是在传输了一段时间后不能接收更多数据字节,主机必须再终止传输。这个情况用从机在个字节后没有产生响应来表示。从机使数据线保持高电平主机产生一个停止或重复起始条件。完整的数据传送过程如图3所示。

e564e108-f285-11ed-90ce-dac502259ad0.jpg

图3 完整的数据传送过程

另外,IIC总线还具有广播呼叫地址用于寻址总线上所有器件的功能。若一个器件不需要广播呼叫寻址中所提供的任何数据,则可以忽咯该地址不作响应。如果该器件需要广播呼叫寻址中按需提供的数据,则应对地址作出响应,其表现为一个接收器。

三、实验过程

根据上述的实验原理,对DE2_TV中的IIC部分进行modelsim仿真

1、IIC所需时钟的仿真

FPGA作为IIC器件的主机,要产生IIC的工作时钟,下面先对IIC所需的时钟信号进行仿真。

待仿真的时钟信号程序如下:

module I2C_Clock

iCLK,

iRST_N,

mI2C_CTRL_CLK,

mI2C_CLK_DIV,

mI2C_CLKO

);

input iCLK;

input iRST_N;

output mI2C_CTRL_CLK;

output mI2C_CLK_DIV;

output mI2C_CLKO;

reg mI2C_CTRL_CLK;

reg [15:0] mI2C_CLK_DIV;

reg mI2C_CLKO;

// Clock SetTIng

parameter CLK_Freq = 50000000; //???50 MHz

parameter I2C_Freq = 80000; //???40 KHz 25Us

parameter I2C_Thd = 200000; //???5Us 200 KHz

always@(posedge iCLK or negedge iRST_N)

begin

// 5000 TImes divide frequence of iCLK

if (!iRST_N)

begin

mI2C_CLK_DIV 《= 0;

mI2C_CLKO 《= 0;

mI2C_CTRL_CLK 《= 0;

end

else if( mI2C_CLK_DIV 《 (CLK_Freq/I2C_Freq))

begin

mI2C_CLK_DIV 《= mI2C_CLK_DIV+1;

if ((!mI2C_CTRL_CLK)&(mI2C_CLK_DIV 《 ((CLK_Freq/I2C_Freq)- (CLK_Freq/I2C_Thd))) )

mI2C_CLKO 《= 0;

else

mI2C_CLKO 《= 1;

end

else

begin

mI2C_CLK_DIV 《= 0;

mI2C_CTRL_CLK 《= ~mI2C_CTRL_CLK;

end

end

endmodule

testbench程序如下:

module I2C_Clock_tb ;

//parameter I2C_Thd = 200000 ;

//parameter I2C_Freq = 80000 ;

//parameter CLK_Freq = 50000000 ;

wire mI2C_CTRL_CLK ;

wire [15:0] mI2C_CLK_DIV ;

wire mI2C_CLKO ;

reg iRST_N ;

reg iCLK ;

I2C_Clock //#( I2C_Thd , I2C_Freq , CLK_Freq )

DUT (

.mI2C_CTRL_CLK (mI2C_CTRL_CLK ) ,

.mI2C_CLK_DIV (mI2C_CLK_DIV ) ,

.mI2C_CLKO (mI2C_CLKO ) ,

.iRST_N (iRST_N ) ,

.iCLK (iCLK ) );

iniTIal

begin

iRST_N = 0;

iCLK = 0;

#50

iRST_N = 1;

end

always

begin

#50 iCLK = ~iCLK;

end

endmodule

modelsim仿真的波形如下:

e587284e-f285-11ed-90ce-dac502259ad0.jpg

图4 IIC内部时钟仿真图

经过计算,IIC内部所用的时钟频率为40KHz。

2、IIC整体仿真

相关程序在附件中。下面是仿真波形。

e5abe332-f285-11ed-90ce-dac502259ad0.jpg

图5 IIC整体仿真波形图

结合上述仿真波形图和程序可以看出:

起始位:SCLK为高电平时,SDAT由高到低,指示IIC总线传输数据的开始;

之后,传送一个字节的数据,即4A,为从机的地址,随后,跟了一个高电平,为应答位;

之后,传送一个字节的数据,即01,为从机地址的子地址,随后,跟了一个高电平,为应答位;

之后,传送一个字节的数据,即08,为上面子地址寄存器配置的数据,随后,跟了一个高电平,为应答位;

,为停止位,SCLK为高电平时,SDAT由低到高,指示该次IIC总线传输数据的结束。

由仿真结果可知,当传送完一个字节后,SDAT为一个脉冲的高电平,而不是从器件先将SDAT拉低再拉高,这样也是可以的。

e5df38ea-f285-11ed-90ce-dac502259ad0.jpg


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593201
  • cpu
    cpu
    +关注

    关注

    68

    文章

    10442

    浏览量

    206564
  • 时钟
    +关注

    关注

    10

    文章

    1479

    浏览量

    130306
  • IIC
    IIC
    +关注

    关注

    11

    文章

    285

    浏览量

    37806
  • IIC总线
    +关注

    关注

    1

    文章

    65

    浏览量

    20152

原文标题:IIC总线的FPGA实现原理及过程

文章出处:【微信号:gh_9d70b445f494,微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    IIC总线FPGA实现说明

    DE2_TV中,有关于寄存器的配置的部分,采用的方法是通过IIC的功能,这里对IIC总线FPGA实现做个说明。
    的头像 发表于 01-05 10:16 315次阅读
    <b class='flag-5'>IIC</b><b class='flag-5'>总线</b>的<b class='flag-5'>FPGA</b><b class='flag-5'>实现</b>说明

    介绍IIC总线仲裁

    IIC总线
    电子电器
    发布于 :2023年02月07日 11:24:43

    FPGA实现模拟IIC总线

    FPGA模拟IIC总线工作,并通过总线与外部设备进行数据交流。
    发表于 05-08 08:07

    FPGA参赛作品】用fpga模拟IIC总线并与外部设备进行数据交流

    题目: 用FPGA模拟IIC总线工作,并通过总线与外部设备进行数据交流IIC总线 是早期由飞利浦
    发表于 05-16 16:08

    IIC总线的特点及读写过程

    IIC总线的特点IIC总线协议详解IIC总线的读写过程
    发表于 01-04 07:30

    IIC总线概述

    实现双向数据传输(IIC通信属于同步、半双工串行通信)。IIC总线遵从主/从结构,可以实现一个主器件和多个从器件之间的通信,并且从器件永远
    发表于 08-10 07:11

    如何使用IIC总线实现EEPROM小容量数据储存测试呢

    IIC总线是什么?如何使用IIC总线实现EEPROM小容量数据储存测试呢?
    发表于 11-05 08:04

    IIC总线接口学习

    在多主设备IIC 总线模式下,多个S3C2440A 微处理器可以从从属设备接收或发送串行数据。主设备S3C2440A 可以初始化和终止一个基于IIC 总线的数据传输。在S3C2440A
    发表于 04-27 15:31 61次下载
    <b class='flag-5'>IIC</b><b class='flag-5'>总线</b>接口学习

    一文解读IIC总线FPGA实现原理及过程

    本文首先介绍了IIC总线概念和IIC总线硬件结构,其次介绍了IIC总线典型应用,最后详细介绍了
    发表于 05-31 10:56 6385次阅读
    一文解读<b class='flag-5'>IIC</b><b class='flag-5'>总线</b>的<b class='flag-5'>FPGA</b><b class='flag-5'>实现</b>原理及<b class='flag-5'>过程</b>

    C51—模拟IIC总线实现EEPROM存取数据

    - 什么是IIC总线IIC总线是同步通信的一种特殊形式,具有接线口少、控制简单、器件封装形式小、通信速率高等特点。在主从通信中,可以有多个IIC
    发表于 11-29 11:21 5次下载
    C51—模拟<b class='flag-5'>IIC</b><b class='flag-5'>总线</b><b class='flag-5'>实现</b>EEPROM存取数据

    IIC通信总线寻址

    IIC的使用IIC总线简介IIC通信时序IIC总线寻址IIC
    发表于 12-04 16:06 12次下载
    <b class='flag-5'>IIC</b>通信<b class='flag-5'>总线</b>寻址

    IIC总线上挂载多个从机的程序实现

    文章目录IIC总线上挂在多个从机的程序实现IIC简介:1、项目的硬件参考电路:2、程序实现IIC
    发表于 01-12 19:09 10次下载
    <b class='flag-5'>IIC</b><b class='flag-5'>总线</b>上挂载多个从机的程序<b class='flag-5'>实现</b>

    基于IIC总线FPGA实现方案

    IIC总线上传送信息时的时钟同步信号是由挂接在SCL时钟线上的所有器件的逻辑“与”完成的。SCL线上由高电平到低电平的跳变将影响到这些器件,一旦某个器件的时钟信号变为低电平,将使SCL线上所有器件开始并保护低电平期。
    发表于 12-02 09:25 414次阅读

    IIC总线调试故障具体原因的定位过程及解决方法

    在某项目研发过程,软件与FPGA联合调试xx芯片IIC总线过程中,遇到故障现象:软件发起一次IIC
    发表于 06-26 14:30 1311次阅读
    <b class='flag-5'>IIC</b><b class='flag-5'>总线</b>调试故障具体原因的定位<b class='flag-5'>过程</b>及解决方法

    IIC总线的原理与Verilog实现

    IIC(Inter-Integrated Circuit)总线是一种由PHILIPS公司开发的两线式串行总线,用于连接微控制器及其外围设备。
    发表于 06-30 09:03 1279次阅读
    <b class='flag-5'>IIC</b><b class='flag-5'>总线</b>的原理与Verilog<b class='flag-5'>实现</b>