0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

锁相环PLL的基本工作原理简析

冬至子 来源:北邮射频研究实验室 作者:肖磊磊 2023-04-24 09:31 次阅读

锁相环(Phase Locking Loop)作为无线通信系统的关键电路模块,有着广泛的应用。本栏将从简单锁相环入手,帮您理解锁相环的基本工作原理

图片

如图为简单锁相环的基本框图包含了三个模块:鉴相器(PD)、环路滤波器(Loop Filter)、压控振荡器(VCO)。只由这三个模块构成的锁相环并没有很好的实用性,但是能很好地帮助读者理解锁相这个概念,对于实际应用中的锁相环,还需要引入电荷泵、分频器等模块,小编将在之后的推送中详细介绍。本文只针对简单锁相环的分析。

1、压控振荡器(VCO)的数学模型

压控振荡器一般是由下式规定,为输入控制电压、输出对应频率的模块。

图片

这样的一个系统图片的改变会立刻导致图片的改变。但这样的关系式并不能直观表达振荡器输出与时间的关系。

对于正弦波图片,正弦的自变量称为信号的“总相位”,相位随时间线性变化,其斜率为图片。这里,频率可被定义为图片,相位对时间的微分。因此可以写出已知频率的正弦波的相位关于时间的表达式:

图片

现在考虑到输出频率为图片的VCO,我们可以得到VCO输出波形为

图片

上式中的总相位包含三项,第一项为线性变化的,图片作为一个常数并不重要,因此只有第二项是重要的,这一项图片,被称为“剩余相位”,用图片表示。因此可以把VCO看作输入和输出分别为控制电压和剩余相位的系统:

图片

而VCO的工作就像一个理想的积分器,其传输函数为

图片

2、鉴相器(PD)

鉴相器是这样一种电路,其平均输出 V~out ~ 与两个输入间的相位差图片成线关系,理想情况如下图,这条直线的斜率 K~PD ~ 就是鉴相器的“增益”,其单位为V/rad。

图片

鉴相器一个熟悉的例子就是异或门(XOR)。当两输入的相位差变化时,输出端的脉冲宽度也相应的变化,从而可以得到一个与相位差成正比的直流电平。

图片

3、基本锁相环结构

首先我们考虑个VCO输出相位与参考时钟对齐的问题。如下图, V~VCO ~ 的上升沿与 V~CK ~ 的上升沿“偏差”图片,我们可以通过短时间内提升VCO频率,使VCO更快地积累相位,逐渐减小相位误差。

图片

图片

可知当符合两个条件(1)VCO振荡频率可调(2)有比较两个相位的电路,就可以使VCO输出相位与参考信号相位对齐,对齐的操作被称为“相位锁定”。

但是鉴相器的输出并不是我们希望的直流信号,应此需要在鉴相器和VCO之间引入一个环路滤波器(低通滤波器),抑制鉴相器输出的高频分量。这样就构成了基本的锁相环。

图片

为了后续分析,必须仔细定义相位锁定的条件。书中对相位锁定的定义是:如果VCO相位与参考相位差值不随时间变化,环路就锁定了。将这个定义转换为表达式,就可以得到如下公式。

图片

之后我们观察锁定条件下环路各节点的电压波形。在锁定状态下,VCO 输出信号与参考信号频率一致,相位差恒定;而鉴相器输出脉冲宽度恒定;VCO控制电压有较小的脉动,这种脉动被称为“波纹”(ripple),波纹大小受环路滤波器设置影响。

图片

而对于输入输出相位差,我们可以通过下式计算。从关系式中我们可以得到两个要点(1)如果锁相环输入频率变化,那么相位误差也同时变化;(2)增大鉴相器和VCO的增益图片可以减小相位误差。

图片

4、锁定状态下的瞬态过程

1.假定起始时,锁相环处于锁定状态,在某一时刻图片,输入信号获得一个相位阶跃图片,也就是图片。相位阶跃显示出 * * V * in ** *的上升沿早于周期性规定的时间。

参考相位阶跃导致鉴相器产生的脉冲宽度增大,迫使 V~cont ~ 逐渐升高,VCO输出频率改变,减小相位误差。在这个过程中,VCO频率变化使VCO输出提供增加的相位图片

图片

增加的相位体现在图中阴影面积。

图片

观察这个过程我们可以归纳两点:1)锁相环回到锁定状态后,所有参数都回到了初始值。2)分析锁相环时,我们很难观察相位和频率随时间的变化,但是振荡器的控制电压确是各很好观测的点。

2.假定起始时,锁相环处于锁定状态,在某一时刻图片,输入信号获得一个频率阶跃图片。这样鉴相器输入两个信号频率不同,产生逐渐增宽的脉冲,图片随时间增大。当图片达到图片时,鉴相器输出脉冲宽度减小,最终稳定到一个值使其直流分量为图片

在这个过程中,锁相环的控制电压和相位误差有一个永久的改变,图片只是跟踪图片

图片

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 锁相环
    +关注

    关注

    35

    文章

    551

    浏览量

    87250
  • 振荡器
    +关注

    关注

    28

    文章

    3518

    浏览量

    137639
  • pll
    pll
    +关注

    关注

    6

    文章

    741

    浏览量

    134577
  • 鉴相器
    +关注

    关注

    1

    文章

    56

    浏览量

    23102
  • 无线通信系统

    关注

    0

    文章

    54

    浏览量

    11083
收藏 人收藏

    评论

    相关推荐

    关于锁相环(PLL)的工作原理

    锁相环英文名称PLL(Phase Locked Loop),中文名称相位锁栓回路,现在简单介绍一下锁相环工作原理
    的头像 发表于 04-28 09:57 4802次阅读
    关于<b class='flag-5'>锁相环</b>(<b class='flag-5'>PLL</b>)的<b class='flag-5'>工作原理</b>

    模拟IC设计原理图4:锁相环(PLL)的工作原理

    锁相环是一种反馈系统,其中电压控制振荡器和相位比较器相互连接,使得振荡器频率(相位)可以准确跟踪施加的频率或相位调制信号的频率。
    的头像 发表于 10-30 15:39 813次阅读
    模拟IC设计原理图4:<b class='flag-5'>锁相环</b>(<b class='flag-5'>PLL</b>)的<b class='flag-5'>工作原理</b>

    锁相环知识

    本帖最后由 zhihuizhou 于 2011-12-21 17:43 编辑   锁相环PLL原理与应用  第一部分:锁相环基本原理  一、锁相环基本组成  二、鉴相器(PD) 
    发表于 12-21 17:35

    锁相环(PLL)电路设计与应用

    图解实用电子技术丛书,介绍锁相环(PLL)电路设计与应用,供大家参考
    发表于 06-21 22:51

    求助PLL锁相环器件选型指导

    求助PLL 锁相环器件选型指导:1) output: Single End clock2) Work Clock: 1Ghz
    发表于 09-03 11:49

    PVA0865AF-LF锁相环

    `可编程锁相环PLL)解决方案有多种尺寸和类型可供选择。 PLL以整数N或小数N形式提供同时根据带宽利用无源或有源环路滤波器。 可以通过3线串行接口对其进行快速编程同时提供非常低的杂散抑制和较小
    发表于 04-03 17:00

    CD4046锁相环有什么应用?

    锁相有何意义?CD4046的工作原理是什么?CD4046锁相环有什么应用?
    发表于 05-27 07:07

    一个锁相环PLL电路通常由哪些模块组成

    什么是锁相环 (PLL)?一个锁相环PLL电路通常由哪些模块组成?
    发表于 01-17 06:01

    PLL(锁相环)电路原理是什么?

    PLL(锁相环)电路原理是什么?
    发表于 01-21 07:03

    LabVIEW锁相环PLL

    LabVIEW锁相环PLL锁相环是一种反馈电路,其作用是使得电路上的时钟和某一外部时钟的相位同步。PLL通过比较外部信号的相位和由压控晶振(VCXO)的相位来实现同步的,在比较的
    发表于 05-31 19:58

    最全面最权威的锁相环PLL原理与应用资料

    最全面最权威的锁相环PLL原理与应用资料非常经典的资料
    发表于 12-02 22:39

    锁相环(PLL),锁相环(PLL)是什么意思

    锁相环(PLL),锁相环(PLL)是什么意思 PLL的概念 我们所说的PLL。其
    发表于 03-23 10:47 6019次阅读

    锁相环(PLL)电路设计与应用

    本书是图解电子工程师实用技术丛书之一,本书主要介绍锁相环(PLL)电路的设计与应用,内容包括PLL工作原理与电路构成、PLL电路的传输特性、
    发表于 09-14 17:55 0次下载
    <b class='flag-5'>锁相环</b>(<b class='flag-5'>PLL</b>)电路设计与应用

    锁相环(PLL)的工作原理及应用

    锁相环路是一种反馈控制电路,简称锁相环PLL,Phase-Locked Loop)。锁相环的特点是:利用外部输入的参考信号控制环路内部振荡信号的频率和相位。
    的头像 发表于 03-29 09:54 1.2w次阅读

    pll锁相环的作用 pll锁相环的三种配置模式

    基本PLL锁相环、整数型频率合成器和分数型频率合成器。下面将详细介绍这三种模式的作用和特点。 第一种:基本PLL锁相环 基本PLL
    的头像 发表于 10-13 17:39 1634次阅读