0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

DPSK调制解调方案

杜勇FPGA 来源:杜勇FPGA 作者:杜勇FPGA 2023-03-29 09:11 次阅读

1 运行平台

硬件:CXD301数字信号处理板

系统:win7/64;win7/32;win10/64;win11/64

软件:ISE/ModelSimSE/Verilog/Matlab

2 主要功能及性能指标

2.1 主要功能

1)可产生基带码元数据

2)完成绝对码到相对码的转换

3)基带数据的成型滤波器电路

4)产生DPSK调制数据

5)完成DPSK解调(载波提取,相干解调)

6)完成位同步信号提取(超前-—滞后型锁相环法位同步电路)

7)解调端完成相对码到绝对码的转换

2.2 主要性能指标

调制端:

1)系统时钟:50MHz

2)基带数据速率:390.625kbps

3)基带成型滤波器成型系数:0.8

4) 载波频率:390.625kbps

解调端:

1)采样频率:3.125MHz

2)解调方式:Costas环提取载波,相干解调

3 程序结构框图说明

a53fd9aa-cdc5-11ed-bfe3-dac502259ad0.png

DPSK调制解调电路程序主要由基带信号生成模块(pcm.v)、绝对码转相对码模块(Coder.v)、Psk调制模块(psk_mod.v)、Costas模块(Costas.v)、位同步模块(BitSync.v)和相对码转绝对码模块(Decoder.v)组成。

基带信号生成模块(pcm.v)产生码率为390.625kbps的基带数据,送给Coder.v完成相对码的转换,同时将绝对码送CXD301扩展口观测。转换后的相对码送给PSK调制模块;

PSK调制模块完成PSK信号调制,先对PCM基带数据进行成型滤波,控制传输频带,再与390.625kbps的载波进行相乘,完成PSK调制;

PSK调制数据经CXD301板上的DA、滤波、运放模块送BNC测试口的同时,经板上短接线回至AD通道,经AD采样后回送至FPGA,由Costas模块完成相干载波提取的同时完成数据解调;

解调后的数据送至位同步模块完成位同步脉冲的提取。提取出的位同步信号与解调后的数据送Decoder.v模块,完成相对码到绝对码的转换,还原成发送端的原始信号。

位同步脉冲及解调后的最终数据送CXD301的扩展口用于示波器观测。

CXD301板上AD/DA接口有多种设置方式,如图2所示。

a5519cd0-cdc5-11ed-bfe3-dac502259ad0.png

本次工程实例采用图2中的模式1连接方式。如果采用图2中的第2种模式,则需要采用双头BNC线将AD接口与DA接口连接起来。

4 测试说明

在测试过程中可以发现,上电后下载程序,输入信号及本地载波信号能够快速完成同步,数据解调正常。当按下key1键,使得costas环路无输入信号,则载波无法同步,无法解调正确,松开key1键后两路波形又自动完成同步且解调正确。

但如长时间按下key1键,偶尔会出现即使松开key1键后,两路信号仍不同步且解调不正确的情况,这是由于在环路没有输入信号时,始终处于搜索状态,环路可能出现“死循环”或“跑飞”的现象,这时只要按下复位键,则两路信号又会迅速同步,完成正常的解调。

本电路仅提供验证环路在上电或复位后完成同步锁定及解调的功能验证,不提供防止环路“跑飞”的功能程序,请用户自行完成防“跑飞”的功能程序。


审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21326

    浏览量

    593242
  • 示波器
    +关注

    关注

    111

    文章

    5661

    浏览量

    181787
  • 数字信号处理

    关注

    15

    文章

    519

    浏览量

    45302
  • DPSK
    +关注

    关注

    0

    文章

    12

    浏览量

    15199
  • bnc
    bnc
    +关注

    关注

    1

    文章

    42

    浏览量

    14279

原文标题:DPSK调制解调(ISE/Verilog/CXD301)

文章出处:【微信号:杜勇FPGA,微信公众号:杜勇FPGA】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    cdma系统的调制解调

    cdma系统的调制解调是指信息的调制解调 pn码实现对信息的扩频,大家怎样解决cdma蜂窝数字移动通信系统的调制
    发表于 12-03 21:20

    AMIS49587载波调制解调方案

    本帖最后由 mr.pengyongche 于 2013-4-30 03:24 编辑 AMIS49587载波调制解调方案ON半导体芯片AMIS-49587是一个遵循IEC61334-5-1标准的电力线载波
    发表于 01-11 09:41

    求大神讲解怎么基于FPGA做2DPSK调制解调的仿真

    拜托大神讲解一下,怎么基于FPGA做2DPSK调制解调的仿真,思路和步骤、用什么软件,谢谢
    发表于 01-01 15:25

    移相键控(PSK/DPSK调制解调实验

    用单片机开发版实现 移相键控(PSK/DPSK调制解调实验,有哪位通信大神会写这个程序?在线等~~急!!!!
    发表于 01-05 22:25

    怎样去构建一个2DPSK调制解调仿真系统?

    2DPSK调制解调基本原理是什么?怎样去构建一个2DPSK调制解调仿真系统?
    发表于 05-19 06:46

    如何选择理想的ADSL调制解调器解决方案

    如何选择理想的ADSL调制解调器解决方案
    发表于 05-28 06:03

    怎么实现中频PCM/DPSK解调器中滤波器的设计?

    本文将针对中频PCM/DPSK遥测信号全软化解调器中数字级联抽取滤波器的设计及软化实现进行研究。
    发表于 06-04 07:07

    ab代码实现例子-DPSK信号的调制解调Matlab代码(采

    ab代码实现的一个例子(采用正交调制解调):DPSK信号的调制解调Matlab代码实现的一个例子(采用正交
    发表于 11-28 11:50 57次下载

    基于RLS算法的DPSK解调方法

             本文研究了一种基于自适应算法的解调差分相移键控(DPSK)信号的方法。采用常用的递归最小二乘法(RLS)自适应算法,研究了自适应解调
    发表于 09-08 09:59 19次下载

    什么是调制解调

    什么是调制解调 调制解调: 所谓调制,就是用一个信号(原信号也称调制信号)去控制另
    发表于 09-05 00:23 3771次阅读

    二相相对移相调制(2DPSK)原理是什么?

    二相相对移相调制(2DPSK)原理是什么? .一般原理及实现方法二进制差分相移键控常简称为二相相对调相,记作2DPSK。它不是利用载波相位的绝对数
    发表于 03-18 14:21 2.4w次阅读

    二相BPSK (DPSK)调制解调技术

    二相BPSK (DPSK)调制解调技术有二相BPSK调制解调实验,二相DPSK
    发表于 03-15 22:41 1.6w次阅读
    二相BPSK (<b class='flag-5'>DPSK</b>)<b class='flag-5'>调制</b><b class='flag-5'>解调</b>技术

    调制解调芯片有哪些_调制解调芯片型号汇总

    本文开始介绍了调制解调的概念和调制解调的目的,其次介绍了调制解调的分类与
    发表于 04-16 09:29 2.1w次阅读
    <b class='flag-5'>调制</b><b class='flag-5'>解调</b>芯片有哪些_<b class='flag-5'>调制</b><b class='flag-5'>解调</b>芯片型号汇总

    DPSK调制解调实验心得

    DPSK调制解调电路程序主要由基带信号生成模块(pcm.v)、绝对码转相对码模块(Coder.v)、Psk调制模块(psk_mod.v)、Costas模块(Costas.v)、位同步模
    的头像 发表于 03-30 09:26 1823次阅读

    基于一种新的双软扩频与π/4DPSK复合调制系统

    电子发烧友网站提供《基于一种新的双软扩频与π/4DPSK复合调制系统.pdf》资料免费下载
    发表于 10-26 11:46 0次下载
    基于一种新的双软扩频与π/4<b class='flag-5'>DPSK</b>复合<b class='flag-5'>调制</b>系统