0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

偶数分频器的设计

CHANBAEK 来源:Andy的ICer之路 作者:AndyICer 2023-03-23 15:06 次阅读

所谓“分频”,就是把输入信号的频率变成成倍数地低于输入频率的输出信号。数字电路中的分频器主要是分为两种:整数分频和小数分频。其中整数分频又分为偶分频和奇分频,首先从偶分频开始吧,入门先从简单的开始!

一、2^n的偶分频器设计

先来看最简单的最常见的一个例子——2分频。

假设输入时钟clk是100MHz(T=10ns),要求得到一个50MHz的输出时钟clk_out,二分频波形应该如下图所示:

图片

该波形的实际电路只需要一个D触发器便可以完成,将Q非的输出接到D端输入,便可以实现二分频电路,如下图所示。

由此可以得出,所有2^n次方的偶分频(即二分频、四分频、八分频等等)都可以用触发器级联的方式得到,例如两个触发器级联就是四分频,三个触发器级联就是八分频,如此类推。

这种级联触发器的方式优缺点分析:

优点:1、能得到占空比为50%的波形;

2、所使用的的资源也比较少。

缺点: 唯一比较大的缺点就是触发器之间有一定的延时。因为驱动clk_out的触发器是由clk作为时钟的,那么这个触发器的Q端变化相比于clk有一个必不可少的clk-to-q延时,例如下图的q3与q1之间的差距就是clk-to-q延时造成的。

这个clk-to-q延时根据不同的工艺,数值会不同。这个clk-to-q的延时在做时钟树综合的时候是要考虑进去的。特别是如果你还期望clk和clk_out是同步的时钟,时钟边沿要对齐的话,在做clock tree的时候要给clk的tree加一些buffer来弥补这个clk-to-q。而如果你是用了好几个分频器级联产生更低频率,那么每一级的分频器都会贡献一个clk-to-q延时,那么你需要平衡时钟的时候就需要插入更多的buffer,这部分buffer又占面积,又耗功耗,甚至可能导致时钟无法平衡。所以这是需要大家在设计的时候考虑进去的。

二、任意偶数的分频器设计

除了上面那种做法之外,对于任意偶数分频的设计还有一种传统的做法就是通过计数器的形式完成设计。例如要设计一个四分频,波形如图所示。cnt计数了DIV_NUM/2-1次之后取反,便可以得到任意偶数分频的波形,任意偶数分频通用代码如下所示。

`timescale 1ns/1ps
module CLK_DIV #(parameter DIV_NUM=4)(
    input clk,
    input rst_n,
    output reg clk_out
    );
   
  reg[3:0] cnt;


  always@(posedge clk or negedge rst_n)begin
    if(!rst_n)
      cnt <= 4'b0;
    else begin
      if(cnt == (DIV_NUM/2)-1)
        cnt <= 4'b0;
      else
        cnt <= cnt + 1'b1;
    end
  end


  always@(posedge clk or negedge rst_n)begin
    if(!rst_n)
      clk_out <= 1'b0;
    else begin
      if(cnt == (DIV_NUM/2)-1)
        clk_out <= ~clk_out;
    end
  end
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 分频器
    +关注

    关注

    43

    文章

    433

    浏览量

    49313
  • 数字电路
    +关注

    关注

    192

    文章

    1396

    浏览量

    79750
  • 分频
    +关注

    关注

    0

    文章

    237

    浏览量

    24731
  • 小数分频
    +关注

    关注

    0

    文章

    7

    浏览量

    6637
收藏 人收藏

    评论

    相关推荐

    基于FPGA的任意数值分频器的设计

    【摘要】:介绍了基于FPGA的任意分频系数的分频器的设计,该分频器能实现分频系数和占空比均可以调节的3类分频:整
    发表于 04-26 16:09

    简单组合时序电路设计

    的设计原理  1.1 偶数分频  偶数分频器的实现非常简单,通过计数计数就完全可以实现。如进行N倍偶数分频,就可以通过由待
    发表于 10-12 16:52

    基于FPGA的小数分频器如何去实现?

    双模前置小数分频原理是什么?如何对小数分频器进行仿真测试?
    发表于 04-29 07:29

    基于FPGA 的等占空比任意整数分频器的设计

    基于FPGA 的等占空比任意整数分频器的设计 给出了一种基于FPGA 的等占空比任意整数分频电路的设计方法。首先简要介绍了FPGA 器件的特点和应用范围, 接着讨论了一
    发表于 02-22 14:22 39次下载

    FPGA实现小数分频器

    介绍了一种基于FPGA的双模前置小数分频器分频原理及电路设计,并用VHDL编程实现分频器的仿真.
    发表于 11-29 16:43 48次下载
    FPGA实现小<b class='flag-5'>数分频器</b>

    用Verilog实现基于FPGA的通用分频器的设计

    用 Verilog实现基于FPGA 的通用分频器的设计时钟分频包括奇数和偶数分频
    发表于 07-14 11:32 45次下载

    分频器的作用是什么 半整数分频器原理图分析

    分频器主要分为偶数分频、奇数分频、半整数分频和小数分频,如果在设计过程中采用参数化设计,就可以随时改变参量以得到不同的
    发表于 02-01 01:28 1.6w次阅读
    <b class='flag-5'>分频器</b>的作用是什么 半整<b class='flag-5'>数分频器</b>原理图分析

    如何使用FPGA进行任意小数分频器的设计

    论文分析了双模前置小数分频器分频原理和电路实现。结合脉冲删除技术,提出了一种适于硬件电路实现的任意小数分频的设计方案 ,用 VerilogHDL语 言编程 ,在 QuartusII下对 此方案进 行 了仿 真 ,并用 Cycl
    发表于 08-02 08:00 5次下载
    如何使用FPGA进行任意小<b class='flag-5'>数分频器</b>的设计

    基于复杂可编程逻辑器件和VHDL语言实现半整数分频器的设计

    在数字系统设计中,根据不同的设计需要,经常会遇到偶数分频、奇数分频、半整数分频等,有的还要求等占空比。在基于cpld(复杂可编程逻辑器件)的数字系统设计中,很容易实现由计数器或其级联构成各种形式的
    发表于 06-26 09:36 851次阅读
    基于复杂可编程逻辑器件和VHDL语言实现半整<b class='flag-5'>数分频器</b>的设计

    数分频器的介绍和实现

    因为偶数分频器过于简单,所以我们从奇数分频器开始说起8 01 奇数分频器     假设我们要实现一个2N+1分频分频器,就需要高电平占N+
    的头像 发表于 03-12 15:44 5709次阅读
    奇<b class='flag-5'>数分频器</b>的介绍和实现

    数分频器的设计

    上一篇文章介绍了偶分频,今天来介绍一下奇数分频器的设计。
    的头像 发表于 03-23 15:06 732次阅读
    奇<b class='flag-5'>数分频器</b>的设计

    数分频器的设计

    前面分别介绍了偶数和奇数分频(即整数分频),接下来本文介绍小数分频
    的头像 发表于 03-23 15:08 717次阅读
    小<b class='flag-5'>数分频器</b>的设计

    偶数分频、奇数分频、半整数分频和小数分频详解

    初学 Verilog 时许多模块都是通过计数与分频完成设计,例如 PWM 脉宽调制、频率计等。而分频逻辑往往通过计数逻辑完成。本节主要对偶数分频、奇数分频、半整
    的头像 发表于 03-29 11:38 3338次阅读
    <b class='flag-5'>偶数分频</b>、奇<b class='flag-5'>数分频</b>、半整<b class='flag-5'>数分频</b>和小<b class='flag-5'>数分频</b>详解

    基于Verilog的分数分频电路设计

    上一篇文章时钟分频系列——偶数分频/奇数分频/分数分频,IC君介绍了各种分频器的设计原理,其中分数分频器
    的头像 发表于 04-25 14:47 1140次阅读
    基于Verilog的分<b class='flag-5'>数分频</b>电路设计

    FPGA学习-分频器设计

    是用于满足设计的需求。 分频:产生比板载时钟小的时钟。 倍频:产生比板载时钟大的时钟。 二:分频器的种类 对于分频电路来说,可以分为整数分频和小数分
    的头像 发表于 11-03 15:55 567次阅读
    FPGA学习-<b class='flag-5'>分频器</b>设计