0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

创意电子采用Cadence数字解决方案完成首款台积电N3制程芯片及首款AI优化的N5制程设计

Cadence楷登 来源:Cadence楷登 2023-02-06 15:02 次阅读

内容提要

创意电子采用台积电 N3 制程工艺,在 Cadence Innovus 设计实现的助力下,实现运算速度为 3.16GHz 的 HPC 核心设计,其中包含了 350 万个实例

创意电子在台积电 N5 制程上采用了 Cadence Cerebrus AI 解决方案,在 CPU 设计上,成功缩小 9% 的设计面积并降低 8% 的功耗

楷登电子(美国 Cadence 公司NASDAQ:CDNS)今日宣布,创意电子(GUC)借助 Cadence 数字解决方案成功完成先进的高性能计算 (HPC)设计和 CPU 设计。其中,HPC 设计采用了台积电先进的 N3 制程,运用 Cadence Innovus Implementation System 设计实现系统,顺利完成首款具有高达 350 万个实例,时钟速度高达到 3.16GHz 的先进设计。另一款 CPU 设计采用 AI 赋能的 Cadence Cerebrus Intelligent Chip Explorer 和完整的数字设计流程,借助台积电 N5 制程工艺,成功让功耗降低 8%,设计面积缩小 9%,同时显著提升了工程效率。

Innovus Implementation System 设计实现系统具备高度精确的 GigaPlace 引擎,支持台积电 FINFLEX 单元行布局,可在整个流程中考虑引脚连接,以实现台积电 N3 制程设计规则检查(DRC)收敛。先进的 GigaOpt 引擎从台积电 N3 库中部署最优配置,同时平衡不同单元行的利用率,实现了更好的优化。Innovus 设计实现系统还包括一个大规模的并行架构,并整合了成熟的 NanoRoute 引擎,助力创意电子在设计流程的初期就能解决信号完整性问题,同时改善布线后的设计相关性。

Cadence Cerebrus 与完整的 Cadence 数字产品线相结合,在助力创意电子优化功率、性能和面积(PPA)方面发挥重要作用,并在 5nm CPU 设计中通过综合、设计实现到签核的完整流程,提升工程团队的生产力。Cadence Cerebrus 的独特之处在于以 AI 强化学习引擎,可自主优化创意电子的设计流程,帮助团队突破工程设计的人工极限,加快产品上市。

创意电子设计服务中心资深副总经理林景源博士表示:“创意电子是为 AI、HPC、5G工业和其他新兴应用提供先进芯片解决方案的市场领导者。我们致力于向客户提供最具竞争力的设计,因此对我们来说,投资于领先的技术非常重要。我们选择 Cadence Cerebrus Intelligent Chip Explorer,正是因为其与更广泛的数字流程相结合,有助于我们通过人工智能技术加快设计周转,同时还能改善 PPA。Innovus 设计实现系统是我们的得力助手,让我们成功交付了首款 N3 芯片,助力团队加速创建高性能、低功耗的 HPC 设计。”

Cadence Cerebrus AI 解决方案和 Innovus Implementation 设计实现系统是完整数字设计流程的一部分,支持 Cadence 的智能系统设计(Intelligent System Design)战略,旨在实现系统级芯片的卓越设计。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 台积电
    +关注

    关注

    43

    文章

    5285

    浏览量

    164817
  • cpu
    cpu
    +关注

    关注

    68

    文章

    10453

    浏览量

    206585
  • Cadence
    +关注

    关注

    62

    文章

    881

    浏览量

    140796
  • AI
    AI
    +关注

    关注

    87

    文章

    26474

    浏览量

    264107
  • 制程工艺
    +关注

    关注

    0

    文章

    40

    浏览量

    9381

原文标题:创意电子采用 Cadence 数字解决方案完成首款台积电 N3 制程芯片及首款 AI 优化的 N5 制程设计

文章出处:【微信号:gh_fca7f1c2678a,微信公众号:Cadence楷登】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    创意电子一季度财报: 产品周期影响短期逆势

    虽然受到产品周期影响,一季度业绩短暂下滑,但分析师表示,随着国际大厂AI芯片采用5nm制程大规模生产,预计将推动创意
    的头像 发表于 04-26 09:22 57次阅读

    台积电2023年报:先进制程与先进封装业务成绩

    据悉,台积电近期发布的2023年报详述其先进制程与先进封装业务进展,包括N2、N3、N4、N5、N6e等工艺节点,以及SoIC CoW、CoWoS-R、InFO_S、InFO_M_PoP等封装技术。
    的头像 发表于 04-25 15:54 120次阅读

    楷登电子Cadence推出业界首个全面的AI驱动数字孪生解决方案

    中国上海,2024 年 3 月 22 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)推出业界首个全面的 AI 驱动数字孪生解决
    的头像 发表于 03-22 11:38 332次阅读

    采用3nm制程 联发科天玑9400性能将超越骁龙8 Gen4

    3nm制程行业资讯
    北京中科同志科技股份有限公司
    发布于 :2024年02月01日 09:29:15

    Cadence AI 驱动的多物理场系统分析解决方案助力纬创大幅提升产品开发速度

    日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,领先技术服务提供商 Wistron 已采用并部署了新的 AI 驱动的电磁(EM)设计同步分析工作流程,包
    的头像 发表于 12-25 10:10 201次阅读
    <b class='flag-5'>Cadence</b> <b class='flag-5'>AI</b> 驱动的多物理场系统分析<b class='flag-5'>解决方案</b>助力纬创大幅提升产品开发速度

    Cadence 签核解决方案助力 Samsung Foundry 的 5G 网络 SoC 设计取得新突破

    设计签核,并取得了更好的 PPA 结果 2 首次部署 Cadence 签核解决方案后,Samsung Foundry 实现了两倍的生产力提升,加速了设计收敛 中国上海,2023 年 12
    的头像 发表于 12-04 10:15 277次阅读

    武汉芯源半导体车规级MCU,CW32A030C8T7通过AEC-Q100测试考核

    。 CW32A030C8T7通过AEC-Q100车规可靠性测试 作为武汉芯源半导体车规级MCU产品,CW32A030C8T7产品顺利通过AEC-Q100(Grade2)车规级可靠性测试,符合车用电子
    发表于 11-30 15:47

    国内免代码大功率双向DC/DC电源专用芯片

    PPEC-86CA3D是一应用于双向有源全桥变换器的电源控制芯片,为电源研发企业提供稳定可靠的隔离型双向DC/DC控制方案,继承PPEC免代码编程开发优势,降低了电源开发门槛,缩短研
    发表于 11-20 10:31

    全球全大核移动芯片亮相

    芯片
    北京中科同志科技股份有限公司
    发布于 :2023年11月07日 12:53:00

    解析振镜起点爆点问题及解决方案

    (脉冲宽度调制)技术来抑制激光器的脉冲,以降低起点能量,提高系统的稳定性。 问题成因: 振镜起点爆点问题的主要成因是激光器在启动时能量输出的不稳定性,导致第一个点的能量异常升高。 解决方案
    发表于 11-06 10:30

    是德科技和Ansys携手为4nm射频FinFET制程打造全新参考流程

    新参考流程采用台积电 N4PRF 制程,提供了开放、高效的射频设计解决方案
    的头像 发表于 10-10 18:22 478次阅读

    Cadence 数字和定制/模拟设计流程获 TSMC 最新 N2 工艺认证

    内容提要 Cadence 数字全流程涵盖关键的新技术,包括一款高精度且支持大规模扩展的寄生参数 3D 场求解器 Cadence Cerebrus 由 AI 驱动,支持 N2
    的头像 发表于 10-10 16:05 303次阅读

    Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

    AI 驱动的 Cadence Virtuoso Studio 助力 IC 设计在 TSMC 的制程技术之间实现迁移时自动优化电路 ●  新的生成式设计技术可将设计迁移时间缩短
    的头像 发表于 09-27 10:10 350次阅读

    创龙科技位居头版,2023深圳elexcon电子展为智能化赋能!

    ,elexcon2023深圳国际电子展暨SiP与先进封装展带您从Chiplet、3D堆叠到SiP和微组装,掌握提升PPA性能的方法论! ​ HIWIN(展位号9H22)晶圆机器人提供半导体设备升级完整解决方案
    发表于 08-24 11:49

    MLCC龙头涨价;车厂砍单芯片28nm设备订单全部取消!

    一共就72亿美元左右,一家就拿走了其中3/4的份额。如果消息属实,
    发表于 05-10 10:54