0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA数字IO如何实现DAC功能

玩儿转FPGA 来源:玩儿转FPGA 作者:包包 2022-12-07 10:31 次阅读

方波时域与频域

42fc757c-75d3-11ed-8abf-dac502259ad0.jpg

假设方波频率为f0。横轴谐波次数为0的柱状图代表直流分量的幅值,也就是方波的平均电压(与占空比有关),谐波次数为1代表频率为f0的正弦波分量的幅值,3代表,3*f0的正弦波分量幅值,以此类推。

可以看出,谐波只有奇数次的分量,1次谐波开始频率越高,幅值越低,对波形影响也就越小。

那么,此时如果此方波过一个低通滤波器,将1,3,5,7...次谐波通通滤掉会如何?

结果就会只有一个0次谐波,也就是0.5V(50%占空比)的直流分量。那么此时我们IO输出占空比变为40%,输出电压就是0.4V,占空比80%,输出就是0.8V,这不就实现了DAC的功能吗?

模拟滤波器

43304c62-75d3-11ed-8abf-dac502259ad0.png

二阶有源低通滤波器

435676da-75d3-11ed-8abf-dac502259ad0.jpg

贝塞尔滤波器

除了上图的滤波器外,如果不追求精度,可以直接LC低通滤波器,简单实用,亲测有效。

Matlab分析方波频谱代码

fs=10000; %采样频率

t=0:1/fs:1;

x=square(2*pi*50*t); %信号波形

subplot(211);

plot(t,x);

subplot(212);

pspectrum(x); %显示功率谱密度

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21331

    浏览量

    593315
  • dac
    dac
    +关注

    关注

    43

    文章

    1973

    浏览量

    189566
  • 模拟滤波器
    +关注

    关注

    0

    文章

    29

    浏览量

    13343
  • 数字IO
    +关注

    关注

    0

    文章

    8

    浏览量

    8035

原文标题:FPGA数字IO如何实现DAC功能

文章出处:【微信号:HaveFunFPGA,微信公众号:玩儿转FPGA】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    基于FPGA数字电路实验平台,要求上位机用labview ,实现几个模块功能,并且实现自动打分功能

    内容:1.掌握Verilog语法及使用方法,初步了解FPGA的基本工作原理及其他简单数字系统的系统级设计方法,学会如何利用FPGA实现实际的各种功能
    发表于 04-19 20:33

    FPGAIO

    多种不同的电压标准,也有丰富的IO。首先,FPGAIO物理命名规则,也就是我们做管脚约束时候的命名,芯片通常是长方体或者正方体,所以命名通常采用字母+数字组合的方式,从上到下是字母(
    发表于 07-18 14:26

    怎么利用FPGA和CPLD数字逻辑实现ADC?

    数字系统的设计人员擅长在其印制电路板上用FPGA和CPLD将各种处理器、存储器和标准的功能元件粘合在一起来实现数字设计。除了这些
    发表于 08-19 06:15

    如何设计基于FPGA的多功能数字钟?

    现场可编程门阵列(Field Programmable Gate Arrays,FPGA)是一种可编程使用的信号处理器件。通过改变配置信息,用户可对其功能进行定义,以满足设计需求。通过开发,FPGA能够
    发表于 11-11 08:31

    将Kintex 7 FPGA与CMOS输入DAC连接如何实现

    我正在尝试将Kintex 7 FPGA与CMOS输入DAC连接。我相信这意味着我需要使用标准IO引脚而不是GTX收发器。标准IO引脚上的最大频率和数据速率是多少?谢谢。
    发表于 05-14 09:31

    怎么实现基于FPGAdac控制?

    怎么实现基于FPGAdac控制?
    发表于 11-02 07:32

    怎么实现基于STM32的PWM和DAC功能

    怎么实现基于STM32的PWM和DAC功能
    发表于 11-19 07:53

    请问CPLD或者FPGA能够实现任意的IO口对联吗?

    需要实现这样的功能,我有比如说10个IO从CPLD或者FPGA的左边10个管脚输入,序号为0到9,期望实现能够输出的为任意的序号,比如说我需
    发表于 04-23 14:19

    FPGA实现数字时钟

    在Quartus Ⅱ开发环境下,用Verilog HDL硬件描述语言设计了一个可以在FPGA芯片上实现数字时钟. 通过将设计代码下载到FPGA的开发平台Altera DE2开发板上进
    发表于 11-29 16:51 179次下载
    <b class='flag-5'>FPGA</b><b class='flag-5'>实现</b><b class='flag-5'>数字</b>时钟

    基于FPGA和Quartus II的多功能数字钟设计与实现

    本文以FPGA平台为基础,在QuartusⅡ开发环境下设计开发多功能数字钟。数字实现计时\校时\整点报时\世界时钟
    发表于 12-18 11:51 3.3w次阅读

    FPGA设计中DAC控制的Verilog实现图文稿

    FPGA设计中DAC控制的Verilog实现图文稿(ltspice 放置电源)-该文档为FPGA设计中DAC控制的Verilog
    发表于 07-26 12:17 10次下载
    <b class='flag-5'>FPGA</b>设计中<b class='flag-5'>DAC</b>控制的Verilog<b class='flag-5'>实现</b>图文稿

    FPGA设计中DAC控制的Verilog实现

    FPGA设计中DAC控制的Verilog实现(单片机电源维修)-该文档为FPGA设计中DAC控制的Verilog
    发表于 07-26 12:18 18次下载
    <b class='flag-5'>FPGA</b>设计中<b class='flag-5'>DAC</b>控制的Verilog<b class='flag-5'>实现</b>

    FPGA设计中DAC控制的Verilog实现修订稿

    FPGA设计中DAC控制的Verilog实现修订稿(空调电源芯片)-该文档为FPGA设计中DAC控制的Verilog
    发表于 07-26 13:13 10次下载
    <b class='flag-5'>FPGA</b>设计中<b class='flag-5'>DAC</b>控制的Verilog<b class='flag-5'>实现</b>修订稿

    FPGA 结构分析 -IO 资源

    工作方式; IO串并转换资源:分析IO资源如何实现串并转换。 其中第二、三系列是对第一系列中的部分内容进行更进一步的详细描述。本篇是对于第一个系列——IO资源进行部分描述,共分为几个章
    的头像 发表于 12-13 13:20 1178次阅读

    请问FPGA数字IO如何实现DAC功能呢?

    假设方波频率为f0。横轴谐波次数为0的柱状图代表直流分量的幅值,也就是方波的平均电压(与占空比有关),谐波次数为1代表频率为f0的正弦波分量的幅值,3代表,3*f0的正弦波分量幅值,以此类推。
    发表于 06-28 14:50 332次阅读
    请问<b class='flag-5'>FPGA</b><b class='flag-5'>数字</b><b class='flag-5'>IO</b>如何<b class='flag-5'>实现</b><b class='flag-5'>DAC</b><b class='flag-5'>功能</b>呢?