0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

英特尔继续推进摩尔定律,为在2030年打造出万亿晶体管芯片铺平道路

话说科技 来源:话说科技 作者:话说科技 2022-12-06 15:50 次阅读

晶体管诞生75周年之际,英特尔在IEDM 2022上宣布将把封装技术的密度再提升10倍,并使用厚度仅三个原子的新材料推进晶体管微缩。

在IEDM 2022(2022 IEEE国际电子器件会议)上,英特尔发布了多项突破性研究成果,继续探索技术创新,以在未来十年内持续推进摩尔定律,最终实现在单个封装中集成一万亿个晶体管。英特尔的研究人员展示了以下研究成果:3D封装技术的新进展,可将密度再提升10倍;超越RibbonFET,用于2D晶体管微缩的新材料,包括仅三个原子厚的超薄材料;能效和存储的新可能,以实现更高性能的计算;量子计算的新进展。

英特尔技术开发事业部副总裁兼组件研究与设计总经理Gary Patton表示:“自人类发明晶体管75年来,推动摩尔定律的创新在不断满足世界指数级增长的计算需求。在IEDM 2022,英特尔展示了其前瞻性思维和具体的研究进展,有助于突破当前和未来的瓶颈,满足无限的计算需求,并使摩尔定律在未来继续保持活力。”

此外,为纪念晶体管诞生75周年,英特尔执行副总裁兼技术开发总经理Ann Kelleher博士将于IEDM 2022主持一场全体会议。届时,Kelleher将概述半导体行业持续创新的路径,即围绕系统级战略联合整个生态系统,以满足世界日益增长的计算需求并以更有效的方式实现创新,从而以摩尔定律的步伐不断前进。此次会议将于太平洋标准时间12月5日周一上午9点45分(北京时间12月6日周二凌晨1点45分)开始,主题为“庆祝晶体管诞生75周年!摩尔定律创新的演进”。

对满足世界的无限计算需求而言,摩尔定律至关重要,因为数据量的激增和人工智能技术的发展让计算需求在以前所未有的速度增长。

持续创新正是摩尔定律的基石。在过去二十年,许多里程碑式的创新,如应变硅(strained silicon)、Hi-K金属栅极(Hi-K metal gate)和FinFET晶体管,都出自英特尔组件研究团队(Intel’s Components Research Group)。这些创新在个人电脑、图形处理器和数据中心领域带来了功耗和成本的持续降低和性能的不断增长。英特尔组件研究团队目前的路线图上包含多项进一步的研究,包括RibbonFET全环绕栅极(GAA)晶体管、PowerVia背面供电技术和EMIB、Foveros Direct等突破性的封装技术。

在IEDM 2022,英特尔的组件研究团队展示了其在三个关键领域的创新进展,以实现摩尔定律的延续:新的3D混合键合(hybrid bonding)封装技术,无缝集成芯粒;超薄2D材料,可在单个芯片上集成更多晶体管;能效和存储的新可能,以实现更高性能的计算。

英特尔组件研究团队所研发的新材料和工艺模糊了封装和芯片制造之间的界限。英特尔展示了将摩尔定律推进到在单个封装中集成一万亿个晶体管的关键步骤,包括可将互联密度再提升10倍的先进封装技术,实现了准单片(quasi-monolithic)芯片。英特尔还通过材料创新找到了可行的设计选择,使用厚度仅三个原子的新型材料,从而超越RibbonFET,推动晶体管尺寸的进一步缩小。

英特尔通过下一代3D封装技术实现准单片芯片:

与IEDM 2021上公布的成果相比,英特尔在IEDM 2022上展示的最新混合键合研究将功率密度和性能又提升了10倍。

通过混合键合技术将互连间距继续微缩到3微米,英特尔实现了与单片式系统级芯片(system-on-chip)连接相似的互连密度和带宽。

英特尔探索通过超薄“2D”材料,在单个芯片上集成更多晶体管:

英特尔展示了一种全环绕栅极堆叠式纳米片结构,使用了厚度仅三个原子的2D通道材料,同时在室温下实现了近似理想的低漏电流双栅极结构晶体管开关。这是堆叠GAA晶体管和超越硅材料的固有限制所需的两项关键性突破。

研究人员还展示了对2D材料的电接触拓扑结构(electrical contact topologies)的首次全面分析,为打造高性能、可扩展的晶体管通道进一步铺平道路。

为了实现更高性能的计算,英特尔带来了能效和存储的新可能:

通过开发可垂直放置在晶体管上方的存储器,英特尔重新定义了微缩技术,从而更有效地利用芯片面积。英特尔在业内率先展示了性能可媲美传统铁电沟槽电容器(ferroelectric trench capacitors)的堆叠型铁电电容器(stacked ferroelectric capacitors),可用于在逻辑芯片上构建铁电存储器(FeRAM)。

业界首创的器件级模型,可定位铁电氧化器件(ferroelectric hafnia devices)的混合相位和缺陷,标志着英特尔在支持行业工具以开发新型存储器和铁电晶体管方面取得了重大进展。

英特尔正在为打造300毫米硅基氮化镓晶圆(GaN-on-silicon wafers)开辟一条可行的路径,从而让世界离超越5G电源能效问题的解决更进一步。英特尔在这一领域所取得的突破,实现了比行业标准高20倍的增益,并在高性能供电指标上打破了行业记录。

英特尔正在超高能效技术上取得突破,特别是在断电情况下也能保留数据的晶体管。对于三个阻碍该技术在室温下完全实现并投入使用的障碍,英特尔的研究人员已经解决其中两个。

英特尔继续引入新的物理学概念,制造用于量子计算的性能更强的量子位:

英特尔的研究人员加深了对各种界面缺陷(interface defects)的认识,这些缺陷可能会成为影响量子数据的环境干扰(environmental disturbances),从而找到了储存量子信息的更好方法。

审核编辑黄昊宇

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    447

    文章

    47792

    浏览量

    409148
  • 英特尔
    +关注

    关注

    60

    文章

    9422

    浏览量

    168831
收藏 人收藏

    评论

    相关推荐

    功能密度定律是否能替代摩尔定律摩尔定律和功能密度定律比较

    众所周知,随着IC工艺的特征尺寸向5nm、3nm迈进,摩尔定律已经要走到尽头了,那么,有什么定律能接替摩尔定律呢?
    的头像 发表于 02-21 09:46 213次阅读
    功能密度<b class='flag-5'>定律</b>是否能替代<b class='flag-5'>摩尔定律</b>?<b class='flag-5'>摩尔定律</b>和功能密度<b class='flag-5'>定律</b>比较

    中国团队公开“Big Chip”架构能终结摩尔定律

    摩尔定律的终结——真正的摩尔定律,即晶体管随着工艺的每次缩小而变得更便宜、更快——正在让芯片制造商疯狂。
    的头像 发表于 01-09 10:16 355次阅读
    中国团队公开“Big Chip”架构能终结<b class='flag-5'>摩尔定律</b>?

    台积电:规划1万亿晶体管芯片封装策略

    为达成此目标,公司正加紧推进N2和N2P级别的2nm制造节点研究,并同步发展A14和A10级别的1.4nm加工工艺,预计到2030年可以实现。此外,台积电预计封装技术,如CoWoS、InFO、SoIC等会不断优化升级,使他们有望在203
    的头像 发表于 12-28 15:20 394次阅读

    英特尔2030年前实现单个封装内集成1万亿晶体管

    12月9日,英特尔在IEDM 2023(2023 IEEE 国际电子器件会议)上展示了使用背面电源触点将晶体管缩小到1纳米及以上范围的关键技术。英特尔表示将在2030年前实现在单个封装
    的头像 发表于 12-28 13:58 306次阅读

    英特尔CEO基辛格:摩尔定律放缓,仍能制造万亿晶体

    帕特·基辛格进一步预测,尽管摩尔定律显著放缓,到2030英特尔依然可以生产出包含1万亿晶体管芯片
    的头像 发表于 12-26 15:07 345次阅读

    英特尔CEO基辛格:摩尔定律仍具生命力,且仍在推动创新

    摩尔定律概念最早由英特尔联合创始人戈登·摩尔在1970年提出,明确指出芯片晶体管数量每两年翻一番。得益于新节点密度提升及大规模生产芯片的能力
    的头像 发表于 12-25 14:54 265次阅读

    英特尔推进摩尔定律 芯片背面供电

    洞见分析
    电子发烧友网官方
    发布于 :2023年12月12日 11:46:35

    英特尔展示下一代晶体管微缩技术突破,将用于未来制程节点

    在IEDM 2023上,英特尔展示了结合背面供电和直接背面触点的3D堆叠CMOS晶体管,这些开创性的技术进展将继续推进摩尔定律
    的头像 发表于 12-11 16:31 368次阅读

    超越摩尔定律,下一代芯片如何创新?

    摩尔定律是指集成电路上可容纳的晶体管数目,约每隔18-24个月便会增加一倍,而成本却减半。这个定律描述了信息产业的发展速度和方向,但是随着芯片的制造工艺接近物理极限,
    的头像 发表于 11-03 08:28 485次阅读
    超越<b class='flag-5'>摩尔定律</b>,下一代<b class='flag-5'>芯片</b>如何创新?

    #高通 #英特尔 #Elite 高通X Elite芯片或终结苹果、英特尔芯片王朝

    高通英特尔苹果
    深圳市浮思特科技有限公司
    发布于 :2023年10月27日 16:46:07

    摩尔定律的终结真的要来了吗

    英特尔共同创始人戈登·摩尔(Gordon Moore)在今年与世长辞,而他对半导体芯片晶体管密度持续增长的著名预测依旧闻名于世。詹姆斯·麦肯齐(James McKenzie)深入研究了这一60多年来
    的头像 发表于 10-19 10:49 348次阅读
    <b class='flag-5'>摩尔定律</b>的终结真的要来了吗

    英特尔推出玻璃基板计划:重新定义芯片封装,推动摩尔定律进步

    ”,并称这将重新定义芯片封装的边界,能够为数据中心、人工智能和图形构建提供改变游戏规则的解决方案,推动摩尔定律进步。该公司表示,将于本十年晚些时候使用玻璃基板进行先进封装。 1971年,英特尔的第一款微处理器拥有2300个
    的头像 发表于 09-20 08:46 568次阅读
    <b class='flag-5'>英特尔</b>推出玻璃基板计划:重新定义<b class='flag-5'>芯片</b>封装,推动<b class='flag-5'>摩尔定律</b>进步

    摩尔定律为什么会消亡?摩尔定律是如何消亡的?

    虽然摩尔定律的消亡是一个日益严重的问题,但每年都会有关键参与者的创新。
    的头像 发表于 08-14 11:03 1350次阅读
    <b class='flag-5'>摩尔定律</b>为什么会消亡?<b class='flag-5'>摩尔定律</b>是如何消亡的?

    【芯闻时译】扩展摩尔定律

    来源:半导体芯科技编译 CEA-Leti和英特尔宣布了一项联合研究项目,旨在开发二维过渡金属硫化合物(2D TMD)在300mm晶圆上的层转移技术,目标是将摩尔定律扩展到2030年以后。 2D
    的头像 发表于 07-18 17:25 289次阅读

    摩尔定律已过时?谁还能撑起芯片的天下?

    声称:摩尔定律已死。 摩尔定律简单来说是一个著名的经验规律,即每18-24个月里,集成电路栅可容纳的晶体管数量将翻倍因此,同时成本也将下降一半。该定律已持续几十年,但随着新工艺节
    的头像 发表于 05-18 11:04 395次阅读