0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

16nm技术的形式验证流程、优势和调试

星星科技指导员 来源:嵌入式计算设计 作者:Nir Shapira 2022-11-24 12:09 次阅读

必须优化正式验证流程中的初始网表,因此测试设计需要额外的逻辑。在这里,我们提供16 nm节点的形式验证流程和调试技术。

形式验证是比较用硬件描述语言 (HDL) 编写的两个设计以确保它们在功能上等效的过程。作为功能验证的一个子集,it 提供了在不使用仿真的情况下检查两个设计的功能等效性的关键第一步。

这些功能等价物中的第一个称为参考设计/黄金设计,其中基于传输级(RTL)代码(如Verilog,System Verilog或VHDL)的模型用作参考网表。该网表根据第二种设计中的相应网表进行验证,称为实现或修订设计(图 1)。为简单起见,在本文的其余部分中,参考/黄金设计将称为“初始设计”,而实现设计/修订设计称为“目标设计”。

pYYBAGN-7oOAfRLjAACHMNabwWA603.png

图1.形式验证方法的表示

下表显示了可用于比较初始设计与目标设计的组合。

pYYBAGN-7oiAJx8yAACvsf4ianc709.png

表 1.初始设计与目标设计

此过程要求初始网表经过不同级别的优化,这反过来又需要额外的测试设计 (DFT) 逻辑。尽管有这些要求,但形式验证过程不应改变设计的逻辑功能。

形式验证的类型

通常使用两种形式验证技术:

等价性检查 – 逻辑等效性检查是一种技术,它采用两种可以具有相同或不同抽象级别(即算法、RTL 或门级)的设计,并检查它们之间的任何功能差异。

等价性检查进一步分为组合或顺序检查。组合等价性检查包括通过将从初始设计一对一的翻牌映射到目标设计来检查组合逻辑,而如果一对一翻牌映射之间存在不同的组合逻辑,但如果给定相同的输入,设计仍应能够产生相同的输出,则使用顺序等价检查。通常,如果 SoC 或 ASIC 设计经历了各种转换,如重定时、节能设计优化等,则使用顺序等效性检查。

属性检查或基于断言的验证 (ABV) –属性检查或 ABV 检查行为是否可行,并使用属性检查器工具来证明设计符合其所有规范。属性检查使用数学程序来证明设计的准确性。

属性检查通常使用两种属性语言:间隔时态逻辑 (ITL) 和系统验证断言 (SVA)。一旦这些被编码,它们就可以传递给数学工具,数学工具预测结果是保持或失败。持有ITL/SVA意味着所有属性都已经过检查,并保留了初始设计的属性。ITL/SVA失败意味着设计行为不是有意的,并且目标设计存在冲突。

形式验证的要求

功能等效性检查通常需要使用相同的测试向量对两个HDL设计进行仿真。但是,随着ASIC技术的缩小和电路复杂性的增加,不可能使用仿真来验证电路功能,因为仿真可能会运行数月。因此,形式化验证通过节省仿真运行时间以及这些扩展仿真的巨大资源需求起着非常重要的作用。

此外,由于设计要经历从综合、布局和布线、签核和工程变更单(ECO)的各个阶段,因此形式验证必须确保电路逻辑功能不会受到任何阶段的影响。

形式验证流程如下图所示。

pYYBAGN-7o6AFtq_AAC3qjAc-EE960.png

图2.形式验证流程图。

形式验证的步骤

在形式验证期间执行以下步骤:

读–读取步骤读取初始设计和目标设计以及所有相关技术库(图 3)。它进一步将设计划分为逻辑锥的关键等价检查概念和比较点:

常见比较点:输入黑匣子;寄存器或锁存器;主输出

不太常见的比较点:多驱动网络;圈;切割点

逻辑锥(图4):驱动比较点的组合逻辑块

poYBAGN-7pmAYi6WAADVrhUOcv0972.png

设置 – 综合工具提供所有自动设置信息,包括时钟门控和扫描插入,这些信息由形式验证识别。

火柴–匹配过程将首先尝试验证指导文件并应用已设置的任何指导。比赛还将尝试根据以下内容匹配比较点:

基于名称的算法

基于签名的分析

注意:然后报告任何不匹配的点。

?验证 – 验证周期验证参考设计的每个逻辑锥与相应实现设计的逻辑等效性。形式验证算法使用许多求解器来证明等价或不等价。有四种可能的结果:

成功:实现等效于引用

失败:实现不等于参考,这意味着存在逻辑差异或设置问题。

定论:没有点失败,但分析未完成,这可能是由于超时或复杂性。

未运行:由于流中的某些初始问题,验证无法运行。

调试-

检查是否有任何警告标志。

检查是否有任何被拒绝的 SVF 指导命令。

检查不匹配的比较点。

报告和修复分析

正式验证运行完成后,可以生成报告分析并在必要时执行修复。

下面的图 5 显示了匹配报告。这里总共报告了 30 个失败的比较点,包括 4 个黑匣子引脚 (BBPins)、17 个 D 触发器 (DFF) 和 9 个锁存器。此外,该报告指示验证失败(图 6)。

pYYBAGN-7r-AKYsoAAF9t1vcql8544.png

由于可能会发生从正常翻牌到多位翻牌的一些转换,从而导致翻牌被报告为非等效点,因此 DFF 表示潜在的修复。

poYBAGN-7saAQ5JvAABXYP4hgJk520.png

图7.普通翻牌与多位翻牌。

好处

无需运行仿真。

功能检查可以通过在任何阶段之后获取网表来完成。

可以轻松识别错误。

结论

本文介绍了形式验证流程、形式验证中使用的技术以及 16 nm 技术节点的调试。形式验证可以轻松检测在时序修复、ECO 实现或任何后端过程中可能发生的任何错误或逻辑故障。

审核编辑:郭婷

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • HDL
    HDL
    +关注

    关注

    8

    文章

    323

    浏览量

    47104
  • 代码
    +关注

    关注

    30

    文章

    4555

    浏览量

    66772
收藏 人收藏

    评论

    相关推荐

    中国台湾将资助当地16nm以下芯片研发 最高补贴50%

    最新消息,中国台湾经济部门(MOEA)推出了一项针对16nm及以下芯片研发的补贴计划,旨在支持当地企业,帮助中国台湾成为集成电路设计的领先者。
    的头像 发表于 03-21 14:19 214次阅读

    fpga原型验证流程

    FPGA原型验证流程是确保FPGA(现场可编程门阵列)设计正确性和功能性的关键步骤。它涵盖了从设计实现到功能验证的整个过程,是FPGA开发流程中不可或缺的一环。
    的头像 发表于 03-15 15:05 377次阅读

    详细解读7nm制程,看半导体巨头如何拼了老命为摩尔定律延寿

    Tick-Tock,是Intel的芯片技术发展的战略模式,在半导体工艺和核心架构这两条道路上交替提升。半导体工艺领域也有类似的形式存在,在14nm/16nm节点之前,半导体工艺在相当长
    的头像 发表于 11-16 11:52 1202次阅读
    详细解读7<b class='flag-5'>nm</b>制程,看半导体巨头如何拼了老命为摩尔定律延寿

    形式验证及其在芯片工程中的应用

    形式验证不仅仅是芯片领域中的一个概念。正如文章开头提到过,形式验证强调使用严格的数学推理和形式技术
    的头像 发表于 10-20 10:46 471次阅读

    困扰你80%时间的那20%调试问题,可以通过它来解决

    底层数据库,实现想看哪就看哪的自定义功能,简直不能更治愈! 众人皆知,验证离不开调试工具。 在整个设计验证流程中,验证占了70%的工作量,
    的头像 发表于 09-15 16:15 173次阅读
    困扰你80%时间的那20%<b class='flag-5'>调试</b>问题,可以通过它来解决

    Formal Verify形式验证流程概述

    Formal Verify,即形式验证,主要思想是通过使用数学证明的方式来验证一个修改后的设计和它原始的设计,在功能上是否等价。
    的头像 发表于 09-15 10:45 500次阅读
    Formal Verify<b class='flag-5'>形式</b><b class='flag-5'>验证</b>的<b class='flag-5'>流程</b>概述

    硬件调试流程介绍

    最近在调试产品,正好看到前人总结的一个调试流程图,总结了本文,供大家交流学习。首先我们看看如下这个流程图。 调试
    的头像 发表于 09-10 10:16 916次阅读
    硬件<b class='flag-5'>调试</b><b class='flag-5'>流程</b>介绍

    EDA形式化验证漫谈:仿真之外,验证之内

    “在未来五年内仿真将逐渐被淘汰,仅用于子系统和系统级验证。与此同时,形式化验证方法已经开始处理一些系统级任务。随着技术发展,更多Formal相关的商业标准化会推出。” Intel fellow
    的头像 发表于 09-01 09:10 949次阅读

    Arm CryptoCell-312生成和验证安全引导和安全调试证书链指南

    安全启动证书链和安全调试证书链之间的主要区别。 本指南包含以下各节: ·证书和证书链。 ·证书链验证流程。 ·证书链生成和验证。 ·安全启动证书链和安全
    发表于 08-24 06:09

    Ansys为英特尔16nm工艺节点的签核验证提供支持

    Ansys多物理场平台支持英特尔16nm工艺的全新射频功能和其他先进特性,能够通过与芯片相关的预测准确性来加速完成设计并提高性能
    发表于 08-15 09:27 325次阅读
    Ansys为英特尔<b class='flag-5'>16nm</b>工艺节点的签核<b class='flag-5'>验证</b>提供支持

    浅析Formality形式验证里的案件

    在当前的形式验证的领域,主要有两个工具,一个就是Cadence的conformal,另外一个就是Synopsys的formality(以下简称FM)。
    的头像 发表于 07-21 09:56 1080次阅读
    浅析Formality<b class='flag-5'>形式</b><b class='flag-5'>验证</b>里的案件

    英特尔全新16nm制程工艺有何优势

    英特尔独立运作代工部门IFS后,将向三方开放芯片制造加工服务,可能是为了吸引客户,英特尔日前发布了全新的16nm制程工艺。
    的头像 发表于 07-15 11:32 797次阅读

    IP_数据表(Z-3):GPIO for TSMC 16nm FF+

    IP_数据表(Z-3):GPIO for TSMC 16nm FF+
    发表于 07-06 20:20 1次下载
    IP_数据表(Z-3):GPIO for TSMC <b class='flag-5'>16nm</b> FF+

    基于形式验证的高效RISC-V处理器验证方法

    随着RISC-V处理器的快速发展,如何保证其正确性成为了一个重要的问题。传统的测试方法只能覆盖一部分错误情况,而且无法完全保证处理器的正确性。因此,基于形式验证的方法成为了一个非常有前途的方法,可以更加全面地验证处理器的正确性。
    的头像 发表于 06-02 10:35 1024次阅读

    IC验证的主要工作流程验证工具是什么?

    验证其实是一个“证伪”的过程,从流程到工具,验证工程师的终极目的都只有一个。
    的头像 发表于 05-31 10:34 1151次阅读