0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

ICC2中的physical_status属性值都有哪些区别呢

jf_tpHP8OJR 来源:集成电路设计及EDA教程 作者:Horizon Qiao 2022-10-26 11:36 次阅读

physical_status表示shape/via的物理修改状态的属性,有效值为unrestricted, minor_change, application_fixed, fixed, locked。

从前到后,该属性越来越强,自由度越来越低。该属性是可以设置的,命令为:set_attribute(不像某些属性是只能查看不能设置的)。

1. 如果状态为unrestricted,即不受限制,则无论是工具还是用户都可以随意对其进行更改;

2. 如果状态为minor_change,表示工具可以对其做微小的改动;

3. 如果状态为application_fixed,则表明它是由工具的某些程序自动fix住的,但是工具后续的某些特定程序还是可以对其进行更改的,并不是所有程序都可以随意修改;比如做完CCD优化和CTS之后,工具会自动在clock nets上设置dont_touch属性,且会在所有的clock cell上将physical_status属性设置为application_fixed。

有了这些属性之后,后续的datapath优化、legalize等操作都不会动到它们。但是后续的某些CCD优化或者CTS的命令还是可以对它们进行修改的。

4. 如果状态为fixed,则工具不能自动对其进行更改,但是用户可以对其进行手动更改;

5. 如果状态为locked,则工具和用户都不能对其进行修改。






审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • CTS
    CTS
    +关注

    关注

    0

    文章

    34

    浏览量

    13887

原文标题:ICC2中的physical_status属性值application_fixed, fixed, locked等有什么区别?

文章出处:【微信号:集成电路设计及EDA教程,微信公众号:集成电路设计及EDA教程】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    请问如何在CX3保存已更改的属性

    我在 CX3 实现了亮度、对比度、伽玛等属性控制代码,但在 AMCAP 更改每个项目并按确定关闭 AMCAP 后,当我再次查看 AMCAP
    发表于 03-06 08:33

    Status 属性范例 (Field) (VB)

    Status 属性范例 (Field) (VB)下列范例用 Internet Publishing Provider 从读/写文件夹打开文档。Record 的 Field 对象的 Statu
    发表于 01-08 10:25

    Status 属性范例 (Recordset) (VB)

    Status 属性范例 (Recordset) (VB)本范例使用 Status 属性来显示在批更新发生前批操作所修改的记录。Public Sub StatusX() &am
    发表于 01-08 10:25

    labview属性节点

    labview利用属性节点来调用控件的信息,这种属性节点的调用方式是传还是传引用?比如利用属性
    发表于 02-07 13:19

    请问如何读取下面小程序通道内的

    ,请教各位大牛,如何读取该小程序physical channels 的。。并且显示出来 谢谢了!!!
    发表于 04-01 10:55

    ICC AVR生成的hex文件无法在proteus仿真

    rtICC AVR生成的hex文件无法在proteus仿真,出现错误,显示:Error reading HEX file'Debug\Exe\avr.hex'at line 1:Colon expected at start of line…,这是什么原因
    发表于 07-27 21:10

    NVIDIA招聘Sr. Physical Design Engineer

    Hi ALLnow we are searching Sr physical Desigh Engineer position, SH/BJ/SZ; JD is follows, If you
    发表于 05-23 16:34

    NVIDIA上海/北京/深圳 招聘 Physical Design Engineer

    Hi AllNVIDIA目前在上海北京深圳招聘Physical Design Engineer的职位,职位描述如下,感兴趣的朋友可以发送简历到tracyw@nvidia.com
    发表于 06-18 10:43

    属性节点-与局部变量有什么区别

    比如我再前面板放置一个数值输入控件,在程序框图中分别右键创建-属性节点-和创建-局部变量,这两者有什么区别
    发表于 11-13 11:51

    Synopsys 2018-2010 EDA软件大全 License

    Synopsys安装包及破解LicenseVerdi,DC,VCS,DFT Compiler,ICCICC2,PrimeTime,Library Compiler,S FPGA,PrimePower,Formality,Hspice,SpyGlass....
    发表于 02-07 23:57

    属性LVCMOS2不是属性IOSTANDARD的可接受怎么办

    嗨,我在ISE Project Navigator 13.2的实现遇到以下错误:NgdBuild:488 - 属性“LVCMOS2”不
    发表于 06-10 06:01

    icc2怎么设置target library和link library啊?

    请教下icc2怎么设置target library和link library啊?好像target library被取消了? levelshift我不想被优化 。我想到的是方法1
    发表于 06-25 06:24

    请问hbird2自带的uart.c函数库uart_get_int_status( ) 与 uart_get_status( )两个函数有什么作用?

    请问hbird2自带的uart.c函数库uart_get_int_status( ) 与 uart_get_status( )两个函数有
    发表于 08-16 08:05

    请问对接onenet的OMA协议3303都有什么属性

    前面两个箭头我知道,但是框出来的2/11/6/1的含义是什么?3303里都有什么属性
    发表于 10-26 06:50

    Synopsys工具中命令中filter的妙用是什么

    在S家的后端设计工具里,包括DC、ICCICC2、PT , 大体的命令都是相通的。
    的头像 发表于 06-11 09:38 384次阅读
    Synopsys工具中命令中filter的妙用是什么