0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

解决Vivado implementation拥塞的策略方法

倩倩 来源:Hack电子 作者:Hack电子 2022-08-31 15:14 次阅读

摘要:根据官方说法,尝试解决post route里面的拥塞问题,参考文章在策略中一些参数细节的配置方法。参考文章中的

Vivado strategies:

针对性能:

Perfornance_Explore

Perfornance_ExplorePostRouteFhsopt

Perfornance_WLBlockPlacement

Perfornance_WLBlockPlacementFanoutopt

Perfornance_NetDelay_high

Perfornance_NetDelay_low

Perfornance_Retiming

Perfornance_ExtraTimingOpt

Perfornance_Refineplacement

Perfornance_SpreadSLLs

Perfornance_BalanceSLLs

针对布线拥塞:

Congestion_ SpreadLogic_high

Congestion_ SpreadLogic_medium

Congestion_ SpreadLogic_low

Congestion_ SpreadLogic_Explore

以下三个针对SSI芯片

Congestion_ SSI_SpreadLogic_high

Congestion_ SSI_SpreadLogic_low

Congestion_ SSI_SpreadLogic_Explore

针对资源:

Area_Explore

Area_ExploreSequential

Area_ExploreWithRemap

针对功耗:

Power_DefaultOpt

Power_ExploreArea

针对运行时间:

Flow_RunPhysOpt

Flow_RunPos tRoutePhysOpt

Flow_Runtimcoptinized

拥塞报告

第一步:打开布局或者布线后的DCP文件

第二步:在菜单下,依次选择Reports -> Report Design Analysis,弹出如下图所示对话框,只选择图中的Congestion,即可生成拥塞报告。

5f17c67c-28fc-11ed-ba43-dac502259ad0.png

这里我们要格外关注Level列对应的数据,该列数据表明了拥塞程度。

5f48907c-28fc-11ed-ba43-dac502259ad0.png

对于拥塞程度(Congestion Level),我们有如下判定标准:

拥塞程度≥7:设计几乎不太可能收敛,布线极有可能失败;

拥塞程度≥6:设计很难实现时序收敛,运行时间会很长,而且很有可能出现布线失败;

拥塞程度=5:存在一定难度实现设计收敛;

拥塞程度<5:可认为设计不存在拥塞问题

我们再看看布线后生成的拥塞报告,如下图所示。此时,我们要关注Type这一列。该列表明了拥塞的类型。

5f712226-28fc-11ed-ba43-dac502259ad0.png

通常,有三类拥塞类型:Global、Long和Short。造成这三类拥塞的原因是不同的。

Global:拥塞区域的Combined LUT过多,或者控制集过多;

Long:拥塞区域的BRAM、URAM和DSP过多,或者跨die路径过多;

Short:拥塞区域的MUXF或Carry Chain过多;

明确了拥塞类型,就可知道造成拥塞的原因,再结合报告中显示的拥塞区域,进而查找到相应的模块,就可以有的放矢,解决拥塞问题。但是,在解决拥塞问题之前要确保设计满足以下几点:

(1)约束是合理的

(2)Pblock之间没有重叠

(3)不存在过大的Hold违例(WHS < -0.4ns)

测试

首先看拥塞的等级,可以分别采用Congestion_ SpreadLogic_high、Congestion_ SpreadLogic_medium等不同的策略去解决。

我在跑版本的时候发现,有的版本时序还行,但是功能完全不正确,warning比功能正确的版本要多。考虑到可能是策略不同所致,所以进行了一些关于策略测试,不是很明白策略,只是单纯的跑版本进行测试。

具体策略每项的介绍可以看这篇文章:【vivado UG学习】Implementation策略学习_lu-ming.xyz的博客-CSDN博客_vivado 实现策略(https://blog.csdn.net/lum250/article/details/119920135)

在有拥塞的时候,使用congestion_spreadlogic_high策略,但是好像很容易会造成版本的unlock,这点不是很确定。

以058版本为例,时序在-0.5的版本是不行的,一般要在-0.5以内,-0.4的样子

5f9b6644-28fc-11ed-ba43-dac502259ad0.png

5fde8f82-28fc-11ed-ba43-dac502259ad0.png

第一次修改策略,其它不变,只改HigherDelayCost

6005f9f0-28fc-11ed-ba43-dac502259ad0.png

第二次修改策略,有unlock的问题:

opt_design:Default

place_design:AtspeedLogic_high

phys_opt_design:AggressiveExplore

route_design:NoTimingRelaxation

(unenable)phys_opt_design:Explore

60318bd8-28fc-11ed-ba43-dac502259ad0.png

第三次修改策略:

opt_design:Default

place_design:AtspeedLogic_high

phys_opt_design:ExploreWithHoldFix

route_design:NoTimingRelaxation

(unenable)phys_opt_design:Explore

60611c72-28fc-11ed-ba43-dac502259ad0.png

第四次修改策略:(无unlock问题,功能没太大问题)

opt_design:Explore

place_design:AtspeedLogic_high

phys_opt_design:AggressiveExplore

route_design:NoTimingRelaxation

(unenable)phys_opt_design:Explore

608b9f4c-28fc-11ed-ba43-dac502259ad0.png

058错误版本,无unlock问题,但是功能有问题:(同策略下跑出过正常版本很奇怪)

opt_design:ExploreArea

place_design:AtspeedLogic_high

phys_opt_design:Explore

route_design:NoTimingRelaxation

(unenable)phys_opt_design:Explore

60bcc9d2-28fc-11ed-ba43-dac502259ad0.png

058正式版本:(无lock问题,功能正确,时序微差)

opt_design:Default

place_design:AtspeedLogic_high

phys_opt_design:Explore

route_design:NoTimingRelaxation

(unenable)phys_opt_design:Explore

13 critical warning

354 warning

WNS:-0.429

TNS:-4010.661

057版本测试

057-0606(未通过)

opt_design:Explore

place_design:AtspeedLogic_high

phys_opt_design:Explore

route_design:NoTimingRelaxation

phys_opt_design:Explore

53 critical warnings

273 warnings

WNS:-0.438ns

总结

根据测试,简单的判断下来,在高LUT使用率以及拥塞的版本下,可暂时使用如下策略,虽然同策略跑出的其他版本在prach测试时有点偏差,但是不能够确定是环境问题还是代码问题:

opt_design:Default

place_design:AtspeedLogic_high

phys_opt_design:Explore

route_design:NoTimingRelaxation

(unenable or ennable)phys_opt_design:Explore

在使用策略的时候可以先不用更改策略内的项,用每个策略的默认项,待时序很差或者拥塞依旧不通过或者功能不正确时,再考虑更改某些项。

目前看下来,当LUT使用过多,并且时序差的时候会有拥塞、unlock以及功能不正确的现象。我在使用congestion_spreadlogic_high策略的时候,很容易会有unlock的现象。功能不正确伴随着的是时序竟然会好,这点很奇怪,感觉是由于策略过于激进优化掉了很多东西,造成了更多的warning(DRC警告)。

不过这三个问题在不改代码的前提下,可以去通过更改策略解决。

审核编辑 :李倩


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 布线
    +关注

    关注

    9

    文章

    730

    浏览量

    84024
  • 拥塞
    +关注

    关注

    0

    文章

    12

    浏览量

    9408
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65101

原文标题:解决Vivado implementation拥塞的策略方法

文章出处:【微信号:Hack电子,微信公众号:Hack电子】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    以太网存储网络的拥塞管理连载案例(六)

    消除或减少无损以太网网络拥塞的高级方法与光纤通道结构相同。几十年来,不同的传输类型都采用了类似的方法,只是略有不同。
    的头像 发表于 03-06 16:35 427次阅读
    以太网存储网络的<b class='flag-5'>拥塞</b>管理连载案例(六)

    以太网存储网络的拥塞管理连载案例(五)

    解决无损以太网网络拥塞问题的方法与光纤通道结构相同。两者都使用逐跳流量控制机制,只是实现方式不同而已。
    的头像 发表于 03-04 11:17 407次阅读
    以太网存储网络的<b class='flag-5'>拥塞</b>管理连载案例(五)

    TCP协议技术之拥塞控制算法

    拥塞控制是在网络层和传输层进行的功能。在网络层,拥塞控制可以通过路由算法来控制数据包在网络中的传输,以降低网络拥塞的发生。
    的头像 发表于 02-03 17:06 1012次阅读
    TCP协议技术之<b class='flag-5'>拥塞</b>控制算法

    量化策略里的MAX方法是指min-max吗?

    量化策略里的MAX方法是指min-max吗
    发表于 09-19 06:38

    UltraFAST设计方法指南(适用于Vivado Design Suite)

    电子发烧友网站提供《UltraFAST设计方法指南(适用于Vivado Design Suite).pdf》资料免费下载
    发表于 09-15 09:56 1次下载
    UltraFAST设计<b class='flag-5'>方法</b>指南(适用于<b class='flag-5'>Vivado</b> Design Suite)

    Vivado ML版中基于ML的路由拥塞和延迟估计

    电子发烧友网站提供《Vivado ML版中基于ML的路由拥塞和延迟估计.pdf》资料免费下载
    发表于 09-14 11:41 0次下载
    <b class='flag-5'>Vivado</b> ML版中基于ML的路由<b class='flag-5'>拥塞</b>和延迟估计

    Vivado设计套件用户:使用Vivado IDE的指南

    电子发烧友网站提供《Vivado设计套件用户:使用Vivado IDE的指南.pdf》资料免费下载
    发表于 09-13 15:25 5次下载
    <b class='flag-5'>Vivado</b>设计套件用户:使用<b class='flag-5'>Vivado</b> IDE的指南

    UltraFast Vivado HLS方法指南

    电子发烧友网站提供《UltraFast Vivado HLS方法指南.pdf》资料免费下载
    发表于 09-13 11:23 1次下载
    UltraFast <b class='flag-5'>Vivado</b> HLS<b class='flag-5'>方法</b>指南

    UltraFAST设计方法指南(适用于Vivado Design Suite)

    电子发烧友网站提供《UltraFAST设计方法指南(适用于Vivado Design Suite).pdf》资料免费下载
    发表于 09-13 10:18 0次下载
    UltraFAST设计<b class='flag-5'>方法</b>指南(适用于<b class='flag-5'>Vivado</b> Design Suite)

    请问如何快速地创建扫描策略呢?

    Vivado提供了丰富的Implementation Strategy,如下图所示。这使得扫描策略成为时序收敛的一种方法
    的头像 发表于 08-31 14:59 503次阅读
    请问如何快速地创建扫描<b class='flag-5'>策略</b>呢?

    VivadoImplementation阶段约束报警告?

    帮到不经常看群消息的小伙伴,另一方面也算是我们的技术积累。 Q:VivadoImplementation阶段约束报警告?   [Vivado 12-627] No clocks matched
    的头像 发表于 08-08 14:10 767次阅读

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 1712次阅读
    <b class='flag-5'>vivado</b>软件和modelsim软件的安装<b class='flag-5'>方法</b>

    Linux内核网络拥塞控制算法的具体实现框架(一)

    谈起网络拥塞控制,大家可能很熟悉八股文中的“加法增大“、”乘法减小“、”慢开始“、“拥塞避免”、“快重传”、“快恢复”等概念。没错,这是一种经典网络拥塞控制算法的基础理论,但在实际的实现时不同的
    的头像 发表于 07-28 11:32 438次阅读
    Linux内核网络<b class='flag-5'>拥塞</b>控制算法的具体实现框架(一)

    用 TCL 定制 Vivado 设计实现流程

    Implementation 的 Default 策略。黄色部分表示可选择执行的部分,不同的实现策略中配置不同。 这里不会讨论那些图形化界面中可选的策略,不同
    发表于 06-28 19:34

    如何在Vivado中添加时序约束呢?

    今天介绍一下,如何在Vivado中添加时序约束,Vivado添加约束的方法有3种:xdc文件、时序约束向导(Constraints Wizard)、时序约束编辑器(Edit Timing Constraints )
    的头像 发表于 06-26 15:21 2234次阅读
    如何在<b class='flag-5'>Vivado</b>中添加时序约束呢?