0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FIFO的使用介绍

FPGA设计论坛 来源:FPGA设计论坛 作者:FPGA设计论坛 2022-08-14 10:49 次阅读

FIFO的使用非常广泛,一般用于不同时钟域之间的数据传输,或者用于不同数据宽度之间的数据匹配。在实际的工程应用,可以根据需要自己写FIFO。不考虑资源的情况下,也可以使用Xilinx提供的IP核来完成。

接口类型选择Native,SOC芯片上也可以根据需要选择AXI接口。

088ad28e-1b61-11ed-ba43-dac502259ad0.png

选择存储器类型:可以用块RAM、分布式RAM,移位寄存器和内嵌FIFO来实现FIFO。这里主要是block RAM和distribute RAM之间的区别。简而言之,block RAM是FPGA中定制的ram资源,而distribute RAM则是由LUT构成的RAM资源。由此区别表明,当FIFO较大时应选择block RAM,当FIFO较小时,选择distribute RAM.另外一个很重要的就是block RAM支持读写不同宽度,而distribute不支持。在这里为了更全面的了解FIFO,选择block RAM以拥有非对称方向速率的特性,内嵌FIFO(Builtin FIFO)在5以上的FPGA芯片中才存在。

时钟:读写操作是否在相同的时钟域中完成。如果是,可以选择Common clock,否则,选择Independent clcoks。

读模式有两种选择,一般选择标准模式,First-Word Fall-Fhrough模式为首字预现,FWFT是指在不影响FIFO读操作的情况下,提前查看下一个数据的能力。即FIFO中不为空,有可用的数据时,FIFO中的第一个数据自动出现在输出总线DOUT上。

Synchronization Stage:穿过交叉时钟域的同步状态(寄存器)数量,默认即可。

08c2a5f6-1b61-11ed-ba43-dac502259ad0.png

data port parameters处,有actual write depth和actual read depth,他们都比我们设置的要小,在实际的工程应用中,FIFO深度确实要比预设的小1,即当写入了Write Width-1个数据之后,FIFO的满信号full会拉高,这个时候如果还要写入数据,则写入的数据丢失。同理,读出Read Width-1个数据后,FIFO的空信号empty会拉高,此时读出信号无效。如下:

08d74a4c-1b61-11ed-ba43-dac502259ad0.png

该FIFO数据深度为16,从aabb0002到aabb0011共写入16个数据,当写入到第15个时,FULL信号拉高,数据不能被有效的写入,从读状态可以看出。当读写数据位宽不匹配时,写入的位宽大于读出的数据位宽,则先从高位开始读;当写入的数据位宽小于读出时,先写入的数据在读数据的高位,如下:

0911ad5e-1b61-11ed-ba43-dac502259ad0.png

关于FIFO复位,Xilinx FIFO默认为高电平复位,在Initialization 中可以设置复位信号到来之后,full、almost full、prog full等信号的复位值为0,或者为1。可以设置读写同步复位,或者异步复位。fifo的复位需要一段时间,期间wr_rst_busy和rd_rst_busy信号为高电平,此时应禁止读写FIFO,否则会造成数据丢失。

关于读写计数,读计数是和读时钟同步的,写计数是和写时钟同步的。读计数是以读数据宽度为单位,fifo中存在的数据个数;写计数是以写数据宽度为单位,fifo中存在的数据个数,这两个值的结果,简单理解就是fifo内部控制器读写地址的差,由于fifo读写时钟可能异步,读写时钟频率不同,导致计算读写计数值时存在延迟,并不完全和读写操作同步。

0939b772-1b61-11ed-ba43-dac502259ad0.png

读写计数仿真结果如下:

09727c9c-1b61-11ed-ba43-dac502259ad0.png

关于读写使能,写使能wr_en为高时,数据立即被写入到fifo中,读使能为高时,下一个时钟周期,有效数据才会出现在数据总线dout上。

一段简单的仿真如下:

`timescale 1ns / 1ps

module tb_fifo_16x256(

);

reg rst;

reg wr_clk;

reg rd_clk;

reg [31:0] din;

reg wr_en;

reg rd_en;

wire [15:0] dout;

wire full;

wire empty;

wire valid;

wire almost_full;

wire almost_empty;

wire [4:0] rd_data_count;

wire [3:0] wr_data_count;

wire wr_rst_busy;

wire rd_rst_busy;

always #10 wr_clk <= ~wr_clk;

always #5 rd_clk <= ~rd_clk;

initial begin

rst <= 1;

wr_clk <= 0;

rd_clk <= 1;

din <= 32'haabb0001 ;

wr_en <= 0;

rd_en <= 0;

#20;

rst <= 0;

#300;

//======================================================empty

repeat(16) @(posedge wr_clk)

begin

din <= din + 1;

wr_en <= 1;

end

repeat(1) @(posedge wr_clk) wr_en <= 0;   

repeat(32) @(posedge rd_clk)

begin

rd_en <= 1;      

end

repeat(1) @(posedge rd_clk) rd_en <= 0;

//=======================================================full

repeat(16) @(posedge wr_clk)

begin

din <= din + 1;

wr_en <= 1;

end

repeat(1) @(posedge wr_clk) wr_en <= 0;    

end

initial begin

#900;

repeat(32) @(posedge rd_clk)

begin

rd_en <= 1;      

end

repeat(1) @(posedge rd_clk) rd_en <= 0;

end

fifo_16x256 fifo_16x256_inst (

.rst(rst), // input wire rst

.wr_clk(wr_clk), // input wire wr_clk

.rd_clk(rd_clk), // input wire rd_clk

.din(din), // input wire [31 : 0] din

.wr_en(wr_en), // input wire wr_en

.rd_en(rd_en), // input wire rd_en

.dout(dout), // output wire [15 : 0] dout

.full(full), // output wire full

.almost_full(almost_full), // output wire almost_full

.empty(empty), // output wire empty

.almost_empty(almost_empty), // output wire almost_empty

.valid(valid), // output wire valid

.rd_data_count(rd_data_count), // output wire [8 : 0] rd_data_count

.wr_data_count(wr_data_count), // output wire [7 : 0] wr_data_count

.wr_rst_busy(wr_rst_busy), // output wire wr_rst_busy

.rd_rst_busy(rd_rst_busy) // output wire rd_rst_busy

);

endmodule

审核编辑:彭静
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 数据传输
    +关注

    关注

    9

    文章

    1525

    浏览量

    63561
  • fifo
    +关注

    关注

    3

    文章

    369

    浏览量

    43068
  • SoC芯片
    +关注

    关注

    1

    文章

    527

    浏览量

    34475

原文标题:FPGA学习-FIFO使用小结

文章出处:【微信号:gh_9d70b445f494,微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    【连载视频教程(十六)】小梅哥FPGA设计思想与验证方法视频教程之FIFO介绍与时序验证

    对开发套件感兴趣的也可以加技术支持群472607506了解咨询。今天是视频第十六讲,主要介绍了嵌入式块RAM的另一个具体应用——FIFOFIFO的完整英文拼写为First In First Out
    发表于 11-03 09:50

    spi通讯的发送中断有什么意义

    新手第一次使用spi,配置的时候发现有个发送中断,不明白它的用处,需要发送数据的时候直接发送不就可以了吗,需要用到中断吗,而且看到例程中用了fifo介绍说它可以一次发16个字或收16个字,而不用一个一个的发或收,那fifo得发
    发表于 04-11 09:16

    spi发送中断有什么意义?

    新手第一次使用spi,配置的时候发现有个发送中断,不明白它的用处,需要发送数据的时候直接发送不就可以了吗,需要用到中断吗,而且看到例程中用了fifo介绍说它可以一次发16个字或收16个字,而不用一个一个的发或收,那fifo得发
    发表于 04-11 11:55

    vivado的fifo生成步骤介绍

    fifo是FPGA中使用最为频繁的IP核之一,可以通过软件自动生成,也可以自主编写。下面介绍vivado的fifo生成步骤1、打开ip核,搜索fifo2、创建
    发表于 01-08 17:20

    异步FIFO的设计难点是什么,怎么解决这些难点?

    异步FIFO介绍异步FIFO的设计难点是什么,怎么解决这些难点?
    发表于 04-08 06:08

    基于c语言的FIFO介绍

    fifo就不要造轮子了,用现成的就行了。linux内核中有目前人类写出的基于c语言的最强FIFO,请自行搜索学习《巧夺天工的kfifo》,或者我的另一篇博文《整数的环回特性》。直接把最常用的几个函数
    发表于 08-16 08:41

    异步FIFO结构

    设计一个FIFO是ASIC设计者遇到的最普遍的问题之一。本文着重介绍怎样设计FIFO——这是一个看似简单却很复杂的任务。一开始,要注意,FIFO通常用于时钟域的过渡,是双时钟设计
    发表于 10-15 08:44 94次下载

    Camera Link接口的异步FIFO设计与实现

    介绍了异步FIFO在Camera Link接口中的应用,将Camera Link接口中的帧有效信号FVAL和行有效信号LVAL引入到异步FIFO的设计中。分析了FPGA中设计异步FIFO
    发表于 07-28 16:08 32次下载

    什么是fifo fifo什么意思 GPIF和FIFO的区别

    什么是fifo (First Input First Output,先入先出队列)这是一种传统的按序执行方法,先进入的指令先完成并引退,跟着才执行第二条指令。1.什么是FIFO
    发表于 12-20 13:51 1.2w次阅读

    基于FLASH的FIFO读写

    基于FLASH的FIFO读写,介绍的比较详细,值得一读。
    发表于 04-28 10:30 22次下载

    以太网基础介绍:MAC地址,FIFO, DMA

    介绍了STM32中以太网外设的特性:MAC地址,FIFO, DMA
    的头像 发表于 07-03 05:04 7358次阅读

    微雪电子FT245USB转FIFO介绍

    FT245 USB转FIFO模块 USB mini接口 支持3种供电模式 提供USB驱动 电路原理图 型号 FT245 USB FIFO Board (mini)
    的头像 发表于 12-30 11:26 4482次阅读
    微雪电子FT245USB转<b class='flag-5'>FIFO</b><b class='flag-5'>介绍</b>

    FIFO使用及其各条件仿真介绍

    FIFO(First In First Out )先入先出存储器,在FPG设计中常用于跨时钟域的处理,FIFO可简单分为同步FIFO和异步FIFO
    的头像 发表于 04-25 15:55 3025次阅读
    <b class='flag-5'>FIFO</b>使用及其各条件仿真<b class='flag-5'>介绍</b>

    FIFO设计—异步FIFO

    异步FIFO主要由五部分组成:写控制端、读控制端、FIFO Memory和两个时钟同步端
    发表于 05-26 16:17 1043次阅读
    <b class='flag-5'>FIFO</b>设计—异步<b class='flag-5'>FIFO</b>

    同步FIFO和异步FIFO的区别 同步FIFO和异步FIFO各在什么情况下应用

    同步FIFO和异步FIFO的区别 同步FIFO和异步FIFO各在什么情况下应用? 1. 同步FIFO和异步
    的头像 发表于 10-18 15:23 1007次阅读