0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

一文解析最严格的等价性比对验证combinational equivalence

电子工程师 来源:芯片验证工程师 作者:验证哥布林 2022-07-19 09:48 次阅读

在我们开始详细讨论FEV 技术之前,我们需要有一个定义

到底什么才是我们所说的“等价”。

一般我们将等价定义为一组关键点之间的匹配,也就是说比较两个模型在相同的激励下,这些关键点是否完全具有相同的逻辑。关键点可能包括:

  1. 输入

  2. 输出

  3. 时序单元输出(锁存器和触发器)

熟悉数字芯片实现的人可能发现,这不就是一个寄存器传输级电路的几个属性么。

基于对于这些关键点的不同比对方式,有三种类型的等价性比对:

  1. combinational equivalence

  2. sequential equivalence

  3. transactional equivalence

从上到下,比对的方式越来越宽松,但是整个模块的端到端功能都能囊括在内的。

具体的差异性,见后续的几篇文章。

Combinational equivalence

Combinational equivalence是使用EDA工具进行等价性比对中最成熟的FEV技术,一般情况下是将RTL和原理图网表进行等价性比对

8e3452ea-0692-11ed-ba43-dac502259ad0.png

上图中每个SPEC模型中的触发器都对应于IMP模型中的特定触发器并且两两触发器之间的组合逻辑功能都是完全等价的。换句话说,这两个模型之前的所有关键点都存在一一对应的关系,中间不存在任何其他的操作。

上一篇文章已经说过,这种类型的等价性比对几乎和逻辑综合同时出现,用来保证RTL和综合后的门级网表一一对应。

  1. 这种方式的好处是:EDA工具不需要考虑寄存器之间的时序关系,只需要关心组合逻辑锥是否等价,

  2. 也有它的局限性:只适合于RTL和门级网表之间的寄存器数量一一对应的场景。熟悉逻辑综合技术的人想必都知道,很多逻辑综合技术会改变寄存器的位置和数量。

8e5165f6-0692-11ed-ba43-dac502259ad0.png

上面电路图中,如果使用的是Combinational equivalence等价性验证,那么需要比对的关键点就是输入(a,b,Ck)、寄存器(F1、F2)和输出(Out)

很明显Combinational equivalence比对最严格,但是在很多场景下有限制(不适应于时序单元变化的场景)。

实际上,我们其实只要证明在相同的输入下,输出能够比对上就可以了,不需要太关心中间的时序逻辑单元个数,所以后面我们将介绍放宽这种约束的等价性比对sequential equivalence和transactional equivalence。

审核编辑:刘清
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 寄存器
    +关注

    关注

    30

    文章

    5020

    浏览量

    117630
  • eda
    eda
    +关注

    关注

    71

    文章

    2534

    浏览量

    170751
  • 锁存器
    +关注

    关注

    8

    文章

    742

    浏览量

    41018
  • 触发器
    +关注

    关注

    14

    文章

    1674

    浏览量

    60381

原文标题:等价性比对验证之combinational equivalence

文章出处:【微信号:芯片验证工程师,微信公众号:芯片验证工程师】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    介绍放宽约束的等价比对sequential equivalence

    Sequential equivalence被某些EDA工具称之为周期精确等价(cycle-accurate equivalence),名字不重要,关键的是理解它和combinational
    的头像 发表于 07-19 09:53 889次阅读

    软件测评的等价类设计方法

    划分的步骤: 1、先考虑输入数据的数据类型(合法和非法的) 2、再考虑数据范围(合法类型中的合法区间和非法区间) 3、画出示意图,区分等价类 4、为每等价类编号 5、从
    发表于 12-29 10:22

    Design of Combinational Circuit

    Design of Combinational CircuitWhat is Combinational CircuitCombinational Circuit if–Outputs at a
    发表于 09-11 09:33

    组合设计实例- Combinational Design Examples-(数字设计原理与实践)

    组合设计实例- Combinational Design Examples-(数字设计原理与实践)Combinational Design Examplesso far, we have
    发表于 09-26 12:56

    基于特征的音频比对技术

    的方式提取出能代表音频主要信息特征的质心、均方根和前12个Mel倒谱系数,并分别计算这3类参数的欧氏距离,根据欧氏距离的值与阀值ε之间的关系,完成音频间的比对任务.经实践证明,这套方案对于音频比对具有较高的准确和较好的实时
    发表于 03-06 21:40

    关于功能验证、时序验证、形式验证、时序建模的论文

    半定制/全定制混合设计的特点,提出并实现了套半定制/全定制混合设计流程中功能和时序验证的方法。论文从模拟验证等价
    发表于 12-07 17:40

    分享个FEC RTLvs Netlist等价比对的示例

    中,只要你使用逻辑综合将RTL转换为门级网表,那么你必然需要使用FEC工具进行RTL和门级网表等价比对。下图是个FEC RTLvs Netlist
    发表于 07-22 14:56

    Combinational Design Examples

    Combinational Design Examples So far, we have looked at basic principles in several areas
    发表于 11-05 22:54 0次下载

    带黑盒组合电路的等价验证

    为了在早期阶段发现电路设计错误,需要对包含未知部分的实现电路和规范电路进行等价验证。本文提出了一种“分而治之”的方法,把电路划分成若干子电路,使用四值逻辑模
    发表于 07-30 17:39 17次下载

    深层解析形式验证

      形式验证(Formal Verification)是一种IC设计的验证方法,它的主要思想是通过使用形式证明的方式来验证一个设计的功能是否正确。形式验证可以分为三大类:
    发表于 08-06 10:05 3761次阅读
    深层<b class='flag-5'>解析</b>形式<b class='flag-5'>验证</b>

    形式验证工具对系统功能的设计

    形式验证工具(Formal Verification Tool)是通过数学逻辑的算法来判断硬件设计的功能是否正确,通常有等价性检查(Equivalence Checking)和属性检查(Property Checking)两种方
    的头像 发表于 08-25 14:35 1088次阅读

    Formal Verification:形式验证的分类、发展、适用场景

    形式验证分为两大分支:Equivalence Checking 等价检查 和 Property Checking 属性检查 形式验证初次被EDA工具采用,可以追溯到90年代,被应用于R
    的头像 发表于 02-03 11:12 1543次阅读

    谈谈Formal验证中的Equivalence Checking

    Lec形式验证想必ICer们都很熟悉,尤其是中后端的IC工程师,在正常逻辑综合生成网表过后或DFT插入mbist等可测试逻辑综合后,需要对综合后产生的网表与综合前的RTL代码进行等效逻辑Lec验证
    的头像 发表于 04-08 09:22 2466次阅读

    打通系统到后端,芯华章发布首款自研数字全流程等价验证工具

    的系统级验证EDA解决方案提供商芯华章,隆重发布 首款自主研发的数字全流程等价验证系统穹鹏GalaxEC 。 随着GalaxEC的发布, 芯华章自主EDA工具完成了对数字验证全流程的
    发表于 09-19 09:18 243次阅读
    打通系统到后端,芯华章发布首款自研数字全流程<b class='flag-5'>等价</b>性<b class='flag-5'>验证</b>工具

    打通系统到后端,芯华章发布首款自研数字全流程等价验证工具

    及相关专业人士,业内领先的系统级验证EDA解决方案提供商芯华章,隆重发布 首款自主研发的数字全流程等价验证系统穹鹏GalaxEC 。 随着GalaxEC的发布, 芯华章自主EDA工具完成了对数字
    的头像 发表于 09-19 11:05 244次阅读
    打通系统到后端,芯华章发布首款自研数字全流程<b class='flag-5'>等价</b>性<b class='flag-5'>验证</b>工具