0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

形式验证工具对系统功能的设计

lhl545545 来源:Semi Connect 作者:Semi Connect 2022-08-25 14:35 次阅读

形式验证工具(Formal Verification Tool)是通过数学逻辑的算法来判断硬件设计的功能是否正确,通常有等价性检查(Equivalence Checking)和属性检查(Property Checking)两种方法。

等价性检查用来检查两个数字集成电路设计之间的逻辑等价性。在集成电路设计过程中许多步骤都可能做逻辑修改,例如插入可测性设计逻辑、时钟树综、工程变更单等,如果用仿真验证会耗费大量时间而且难以保证验证的覆盖率。等价性检查时通过静态和数学逻辑的算法来比较修改前后逻辑的一致性,理论上可实现全覆盖验证。

对于给定的两个网表(可以称为原始网表和修订网表),假设两个网表的输入信号、输出信号,以及网表中的输入信号、输出信号和网表中的寄存器配对,产生多对组合逻辑锥(Combinational Logic Cones);然后再用二元决策图(Binary Decision Diagram)、合取范式的可满足性求解器(SAT So lver)等算法,对每一对组合逻辑锥进行比较。如果每一对里两个逻辑锥的布尔函数都是等价的,就能断定两个网表的静态和时序逻辑功能是相同的。等价性检查验证示意图如图5-112所示。

bea15dbe-242a-11ed-ba43-dac502259ad0.jpg

当原始网表和修订网表的寄存器个数不相同时 ,上述的算法通常会发现有些配对的组合逻辑锥里的两个布尔函数是不等价的。这时就必须用一些检测时序逻辑等效性(Sequential Equivalence Checking)的算法做进一步分析,从而判定两个网表的逻辑功能是否相同。

属性检查时一种分析电路设计是否满足某些给定规范或断言(Assertion)的方法。首先用逻辑结构和形式化逻辑描述系统模型和待验证的属性,如时序逻辑结构、有限状态机和形式逻辑公式等,再通过形式验证的算法来检测设计是否满足该属性。属性检查技术又可以分为定理证明(Theorem Proving)和模型检查(Model Checking)。

定理证明是将设计和待验证的属性用某种形式化逻辑系统的公式表示出来,再根据该系统的公理、推理规则以及已经证明的定理,推导出表达系统属性的公式,从而证明设计满足该属性。这种推导的过程通常需要人工参与,并要对系统功能设计有相当程度的了解。

模型检查是用时序逻辑结构或有限状态机表示待检验的设计。首先用某种时态逻辑表示设计应该具有的属性,再通过二元决策图、合取范式可满足性求解、自动测试生成(ATPG)等技术搜寻设计的状态空间,检测是否在所有可能的状态下设计都满足这些属性。如果检测出设计不满足某种属性时,也能给出反例,方便错误的定位。模型检查算法通常不需要人工参与,但如果设计可能存在的状态空间太大时,会遭遇所谓的状态爆炸(State Explosin)问题,导致无法在有限的时间内得到最终的结果。

由于工艺的不断演进,等价性检查和属性检查的技术必须不断地改进才能处理越来越大的设计规模。

审核编辑:彭静
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 硬件
    +关注

    关注

    11

    文章

    2922

    浏览量

    64810
  • 形式验证
    +关注

    关注

    0

    文章

    8

    浏览量

    5674
  • 数学逻辑
    +关注

    关注

    0

    文章

    2

    浏览量

    5161

原文标题:可编程逻辑电路设计—形式验证工具

文章出处:【微信号:Semi Connect,微信公众号:Semi Connect】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    芯片开发中形式化验证的是一个误区

    今天的形式验证工具具有更大的容量,并且许多工具能够在服务器或云上以分布式模式运行。形式验证的技术
    的头像 发表于 11-29 14:31 1593次阅读

    关于功能验证、时序验证形式验证、时序建模的论文

    。论文还结合工程任务,设计实现了验证过程中使用的几种辅助工具,大大提高了验证的效率,减少了人工参与带来的失误。运用上述验证方法对FF-DX功能
    发表于 12-07 17:40

    请问数字电路的系统级设计验证工具及流程?

    群主好,我想请教数字电路的系统级设计验证工具及流程?即系统工程师常用的硬件描述语言,系统验证工具
    发表于 09-05 15:11

    ACRN 之InterruptWindow功能正确性形式化验证

    重磅推荐|ACRN 之InterruptWindow功能正确性形式化验证
    发表于 06-18 16:04

    深层解析形式验证

      形式验证(Formal Verification)是一种IC设计的验证方法,它的主要思想是通过使用形式证明的方式来验证一个设计的
    发表于 08-06 10:05 3773次阅读
    深层解析<b class='flag-5'>形式</b><b class='flag-5'>验证</b>

    形式验证技术商机凸显 SoC整合问题亟需解决

    Mentor Graphics公司强化其Questa工具,提升自动化功能以扩展用于芯片设计的涵盖范围,并简化形式验证技术。另一方面,此次的功能
    发表于 10-23 09:39 878次阅读

    操作系统汇编级形式化设计和验证方法

    由于系统的巨大规模,操作系统设计和实现的正确性很难用传统的方法进行描述和验证.在汇编层形式化地对系统模块的
    发表于 01-05 14:45 1次下载
    操作<b class='flag-5'>系统</b>汇编级<b class='flag-5'>形式</b>化设计和<b class='flag-5'>验证</b>方法

    形式验证成为SoC模块验证的主流

      以对以仿真为中心的工程师有意义的方式调试形式验证代码,在很大程度上已被许多形式验证供应商解决。大多数工具可以在断言失败的情况下输出“见证
    的头像 发表于 06-13 10:25 985次阅读
    <b class='flag-5'>形式</b><b class='flag-5'>验证</b>成为SoC模块<b class='flag-5'>验证</b>的主流

    上海控安iVerifier计算机联锁系统验证工具概述

    传统的联锁系统开发、设计和测试,只能从功能上保证其逻辑的正确性,而无法保证其安全需求完全得到满足。SmartRocket iVerifier作为上海控安拥有自主专利技术的计算机联锁系统形式化验证
    的头像 发表于 08-09 16:37 1202次阅读
    上海控安iVerifier计算机联锁<b class='flag-5'>系统验证</b><b class='flag-5'>工具</b>概述

    关于形式验证的11个误区

    对于第一代形式化工具来说,这个误区可以说是正确的,这些工具是为学术目的而设计的。他们需要学习一种晦涩难懂的数学符号来指定断言和约束。这些工具需要大量的手动指导,所以大多数用户实际上是专门研究
    的头像 发表于 11-29 14:31 591次阅读

    形式验证入门之基本概念和流程

    VLSI设计的功能验证有两种方法,动态仿真验证形式验证形式
    的头像 发表于 12-27 15:18 1258次阅读

    Formal Verification:形式验证的分类、发展、适用场景

    形式验证分为两大分支:Equivalence Checking 等价检查 和 Property Checking 属性检查 形式验证初次被EDA工具
    的头像 发表于 02-03 11:12 1548次阅读

    浅析Formality形式验证里的案件

    在当前的形式验证的领域,主要有两个工具,一个就是Cadence的conformal,另外一个就是Synopsys的formality(以下简称FM)。
    的头像 发表于 07-21 09:56 1081次阅读
    浅析Formality<b class='flag-5'>形式</b><b class='flag-5'>验证</b>里的案件

    Formal Verify形式验证的流程概述

    Formal Verify,即形式验证,主要思想是通过使用数学证明的方式来验证一个修改后的设计和它原始的设计,在功能上是否等价。
    的头像 发表于 09-15 10:45 502次阅读
    Formal Verify<b class='flag-5'>形式</b><b class='flag-5'>验证</b>的流程概述

    形式验证及其在芯片工程中的应用

    形式验证不仅仅是芯片领域中的一个概念。正如文章开头提到过,形式验证强调使用严格的数学推理和形式化技术,以确保
    的头像 发表于 10-20 10:46 472次阅读