0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

IBM的2nm工艺是一个怎样的技术

独爱72H 来源:雷科技、与非网 作者:雷科技、与非网 2022-06-28 15:44 次阅读

IBM宣布了一条可以轰炸整个科技圈的消息,成功研发出了全球首款2nm EUV工艺的半导体芯片。IBM表示,与台积电的5nm相比,2nm芯片的晶体管密度几乎是前者的两倍,达到了333.33 MTr/mm2,即每平方毫米可容纳3.3亿个晶体管。

IBM的2nm工艺是什么技术?

5nm工艺推出之前,业界采用的是FinFET(鳍式场效应晶体管)结构,与传统晶体管结构,只能在闸门一侧控制电路连通与断开不同,FinFET晶体管结构中的闸门类似鱼鳍的叉状,可以控制闸门两侧电路的连通和断开,进一步减少了漏电的几率,同时,大幅缩短了晶体管的栅长。讲得通俗易懂点,就是传统的FET(场效应管)属于平面架构,只能控制一侧的电路,而FinFET则是3D立体架构,可以同时控制两侧电路。

当工艺演进到5nm后,FinFET结构已经无法满足晶体管所需的静电控制,会出现严重的漏电问题。为此,三星率先采用了GAA(环绕式栅极)的晶体管结构,并对3nm制程工艺的芯片进行研发。不凑巧的是,IBM的2nm制程工艺也是同样的GAA结构。不过,GAA晶体管结构又可分为纳米线结构GAAFET和纳米片结构MBCFET,而IBM采用的是纳米片结构。

与纳米线结构相比,纳米片结构的接触面积更大,但不利于片与片之间的刻蚀(通过化学或物理的方法去除硅片表面不需要的部分)和薄膜生长(集成电路在制造过程中需要在晶圆片表面生长数层材质不同、厚度不同的薄膜)。

需要注意的是,IBM的2nm已不再是指栅极长度(MOS管的最小沟道长度),而是等效成了芯片上晶体管节点密度。因此,这里的2nm只是一个命名代号,而非物理上的2nm。

本文整合自:雷科技、与非网

责任编辑:符乾江

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    447

    文章

    47769

    浏览量

    409072
  • IBM
    IBM
    +关注

    关注

    3

    文章

    1670

    浏览量

    74272
  • 2nm
    2nm
    +关注

    关注

    1

    文章

    195

    浏览量

    4356
收藏 人收藏

    评论

    相关推荐

    台积电冲刺2nm量产,2nm先进制程决战2025

    人员接手试产及量产作业的种子团队,推动新竹宝山和高雄厂于 2024年同步南北试产、2025年量产。   从1971的10000nm制程到5nm,从5nm向3nm
    的头像 发表于 08-20 08:32 2153次阅读
    台积电冲刺<b class='flag-5'>2nm</b>量产,<b class='flag-5'>2nm</b>先进制程决战2025

    三星电子澄清:3nm芯片并非更名2nm,下半年将量产

    李时荣声称,“客户对代工企业的产品竞争力与稳定供应有严格要求,而4nm工艺已步入成熟良率阶段。我们正积极筹备后半年第二代3nm工艺及明年2nm
    的头像 发表于 03-21 15:51 154次阅读

    台积电2nm制程进展顺利

    台中科学园区已初步规划A14和A10生产线,将视市场需求决定是否新增2nm制程工艺
    的头像 发表于 01-31 14:09 293次阅读

    苹果将成为首个采用其最新2nm工艺的客户

    2nm工艺是台积电采用的革新性GAA(Gate-All-Around)技术,在相同功耗下相比当前最先进的N3E工艺,速度提升10%至15%,或在相同速度下功耗降低25%至30%。这一突
    的头像 发表于 01-26 15:51 243次阅读

    苹果将抢先采用台积电2nm工艺,实现技术独享

    例如,尽管iPhone 15 Pro已发布四个月,A17 Pro仍在使用台积电专有的3nm工艺。根据MacRumors的报告,这一趋势似乎仍将延续至2nm工艺
    的头像 发表于 01-26 09:48 230次阅读

    台积电在2nm制程技术上展开防守策略

    台积电的2nm技术是3nm技术的延续。一直以来,台积电坚定地遵循着每一步一个工艺节点的演进策略,稳扎稳打,不断突破。
    发表于 01-25 14:14 130次阅读

    台积电首次提及 1.4nm 工艺技术2nm 工艺按计划 2025 年量产

    12 月 14 日消息,台积电在近日举办的 IEEE 国际电子器件会议(IEDM)的小组研讨会上透露,其 1.4nm工艺制程研发已经全面展开。同时,台积电重申,2nm 级制程将按计划于 2025
    的头像 发表于 12-18 15:13 217次阅读

    今日看点丨台积电首次提及 1.4nm 工艺技术2nm 工艺按计划 2025 年量产;消息称字节跳动将取消下一代 VR 头显

    1. 台积电首次提及 1.4nm 工艺技术2nm 工艺按计划 2025 年量产   台积电在近日举办的 IEEE 国际电子器件会议(IEDM)的小组研讨会上透露,其 1.4
    发表于 12-14 11:16 764次阅读

    2nm意味着什么?2nm何时到来?它与3nm有何不同?

    3nm工艺刚量产,业界就已经在讨论2nm了,并且在调整相关的时间表。2nm工艺不仅对晶圆厂来说是一个重大挑战,同样也考验着EDA公司,以及在
    的头像 发表于 12-06 09:09 824次阅读

    2nm芯片什么时候出 2nm芯片手机有哪些

    2nm芯片什么时候出 2nm芯片什么时候出这个问题目前没有相关官方的报道,因此无法给出准确的回答。根据网上的一些消息台积电于6月16日在2022年度北美技术论坛上首次宣布,将推出下一代先进工艺
    的头像 发表于 10-19 17:06 903次阅读

    2nm芯片是什么意思 2nm芯片什么时候量产

    可以容纳更多的晶体管在同样的芯片面积上,从而提供更高的集成度和处理能力。此外,较小的节点尺寸还可以降低电路的功耗,提供更高的能效。可以说,2nm芯片代表了制程工艺的最新进展和技术创新。 2nm
    的头像 发表于 10-19 16:59 2262次阅读

    2nm芯片工艺有望破冰吗?

    芯片2nm
    亿佰特物联网应用专家
    发布于 :2023年10月11日 14:52:41

    来看看“不约而同”的2nm时间轴进程

    作为行业老大,台积电称将如期在2025年上线2nm工艺,2025年下半年进入量产。2nm可谓是台积电的一个重大节点,该工艺将采用纳米片晶体管(Nanosheet),取代FinFET,意
    的头像 发表于 08-07 16:22 488次阅读

    三星电子2nm制程工艺计划2025年量产 2027年开始用于代工汽车芯片

    外媒在报道中提到,根据公布的计划,三星电子将在2025年开始,采用2nm制程工艺量产移动设备应用所需的芯片,2026年开始量产高性能计算设备的芯片,2027年则是利用2nm制程工艺开始
    的头像 发表于 06-30 16:55 489次阅读

    2nm大战 全面打响

    在芯片制造领域,3nm方兴未艾,围绕着2nm的竞争已经全面打响。
    的头像 发表于 06-28 15:58 494次阅读
    <b class='flag-5'>2nm</b>大战 全面打响