0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

2025年即将迈入2nm,但台积电或三星可能都不是赢家

Simon观察 来源:电子发烧友网 作者:黄山明 2022-06-19 08:00 次阅读
电子发烧友网报道(文/黄山明)近日,台积电在2022技术研讨会上披露了未来先进制程的相关信息,N3(3nm)工艺将于2022年内量产,后续还将有N3E、N3P、N3X等系列,而N2(2nm)工艺将在2025年量产,这也是台积电首次正式公布2nm量产的时间。

此前三星便已经在2021年宣布了3nm工艺节点,其中3GAE(低功耗版)版已经在2022年实现量产,而3GAP(高性能版)则会在2023年左右批量生产。尽管没有在工艺路线图上公布2nm制程的量产时间,不过三星代工市场策略高级副总裁MoonSoo Kang透露,2GAP工艺将会在2025年量产。不过当市场期待台积电与三星在2nm制程争锋时,谁也没想到,这时来了位搅局者。

激进的三星

尽管当前芯片最先进制程已经开始向2nm迈进,但在7nm以上的高制程工艺目前全球仍然仅有台积电与三星。虽然英特尔也开始向7nm进发,但按照英特尔的说法,其爱尔兰的Fab 34晶圆厂才刚刚安装完第一台EUV光刻机没多久,Intel 4工艺(即7nm工艺)预计在今年下半年量产。

之所以半导体芯片高制程工艺做的厂商这么少,一方面在于需要投入大量的资金,动辄成百上千亿美元的投入,不是随便哪家企业可以承担的;另一方面在于市场供需上,尽管市场规模很大,但客户并不多,有能力为企业高制程芯片买单的用户就那么几家,容不下太多的玩家。

也因此,市场中仅剩下台积电、三星、英特尔等少数几位厂商仍然在向着高制程工艺发起冲击。

近几年,三星的不断加大对半导体的投入,并且希望在晶圆代工领域一举超过台积电。为此决定在2030年前持续投入达1160亿美元,以实现在3nm制程上赶超台积电,并计划在2025年实现芯片制造方面的领先。

从工艺进度来看,三星在2020年开始量产5nm工艺,2021年4nm成功量产,2022年3nm工艺成功量产,并且预计到2025年达到量产2nm工艺的水准。从时间进度来看,三星已经逐步赶上了台积电。

并且在3nm制程上,近期三星更是公开了3nm制程工艺的12英寸晶圆,并且三星还将率先采用新一代GAA技术。GAA是一种新型的环绕栅极晶体管,通过纳米片设备可制造出多桥-通道场效应管MBCFET,该技术可以显著增强晶体管性能,解决栅极氧化层过薄产生量子隧穿等效应造成的晶体管漏电现象。

同时,三星与IBM联合推出了一种新的垂直晶体管架构VTFET,在同等功率下,VTFET晶体管提供了FinFET晶体管2倍的性能,而在等效频率下,VTFET可以节省85%的功率。

据三星官方介绍,3nm GAA技术相比7nm制程工艺来说,逻辑面积效率提高了45%以上,功耗降低了50%,性能提高了约35%。从纸面数据来看,三星的3nm已经胜过了台积电,但事实果真如此吗?

首先是三星4nm工艺的对于芯片实际性能与功耗的平衡并没有如他们自己说得这么强悍,典型的例子是三星所代工生产的骁龙888与骁龙8 Gen 1在性能提升的同时,功耗也急剧飙升。而最新发布的骁龙8plus,采用台积电4nm工艺,在CPUGPU性能均有10%的提升,同时综合功耗降低了15%,可见两者仍存在一定的差距。

更何况三星此前在新的制程工艺上还传出其良率只有35%,并且芯片发热问题十分严重,这也劝退了不少大客户,如英伟达高通等。三星想要超越台积电的道路,没那么容易。

稳健的台积电

相比三星,台积电则相对稳健得多。比如三星决定在2030年前投入1160亿美元用于半导体制程的研发,而台积电在2021年便投入了300亿美元,超过原有计划的280亿美元,并且表示将在今年投入的80%左右用于研发2nm等先进制程,10%左右先进封装,其余则用于成熟制程的投入。

同时,三星激进的在3nm工艺便准备采用GAA技术,而台积电要在2nm时才会选择使用。不仅是新的晶体管结构上,在材料上也有了较大的突破,据了解,台积电正在研究的新材料包括二硫化钨(WuS2)和碳纳米管等二维材料。这些二维材料能够更有效地移动电子,并让芯片实现更节能的计算。

二维材料的应用也是为了解决晶体管微缩后带来的量子效应,解决漏电发热、提升芯片能耗,并减小芯片面积。相比于当前的硅材料,二维半导体材料天生更适用于2nm及之后的先进制程,二硫化钨和碳纳米管是目前最具代表性的二维材料。

有了材料以及结构,还需要拥有相应的工具。近日,台积电研究发展资深副总经理米玉杰公开表示,台积电将在2024年引进ASML最先进的高数值孔径极紫外光(high-NA EUV)光刻机,来应对客户推动创新的需求。

不过台积电业务开发资深副总经理张晓强表示,台积电在2024年还不准备应用这台high-NA EUV工具来进行生产,主要的使用目的是合作伙伴进行研究。

倒是作为近几年重新进入先进晶圆代工市场的英特尔表示,会在2025年使用high-NA EUV,还表示将率先收到这台机器。

不管如何,至少证明台积电不管是在晶体管技术、制造材料、芯片制造设备上都已经做好了准备,那么下一步就是如何去做的问题。因此就在近期,台积电宣布计划斥资1万亿新台币(约合人民币2250亿元),在中国台湾台中市建设一座新的晶圆厂,专门负责2nm芯片的生产。

目前台积电一步步向2nm制程推进,相比三星的激进,台积电则走得非常稳健。不过这也是因为三星是攻擂者,而台积电是守擂者的原因。

意外的搅局者

就在台积电与三星在先进制程领域你追我赶,准备在2025年以2nm来一决高下的时候,却闯进来了一位搅局者。近日,据日媒报道,美国绕开台积电与日本合作,预计最早将于2025年在日本本土建立2nm芯片制造工厂。同时,日美两国政府还将根据双边芯片技术合作伙伴关系提供支持,两国民间企业也将在设计和量产方面进行研究。

报道显示,日本希望通过在本土生产的新一代半导体,来确保稳定供应。为此,日本和美国企业有望联合成立新公司,或者日本企业可以建立一个新的制造中心,而日本经济产业省也将补贴部分研发费用与资本支出,预计这一计划在2025-2027年完成。

同时报道还指出,两国正专注于研发媲美2nm微型化工艺的先进半导体,该技术将直接生产安装在量子计算机、数据中心和最新智能手机中的核心部件。甚至先进的半导体还将加强日本的安全系统,因为这决定了战斗机与导弹等武器的性能。

目前,日本先进工业科学技术公司正在与东京电子、佳能等设备公司开发包括2nm在内的先进线制造技术,而去年便成功测试2nm的IBM和进行2nm研发的英特尔正在参与该项目,这些公司都极有可能被列入美日联合开发项目中来。

有意思的是,不管是台积电、三星还是美日联合公司,都将实现2nm制程的时间节点放在了2025年,比较意外的是美日方面是如何能够做到2nm制程的。要知道此前即便是英特尔,也只是长期耕耘10nm,如今短短5年便直接跑向2nm,进度惊人。

或许是因为这一原因,近日台积电拒绝了欧盟的邀请。有国外媒体报道,在欧盟鼓励台湾企业在欧洲生产芯片之际,台积电董事长刘德音针对海外布局给出回应称,公司目前还没有在欧洲建厂的具体计划。

写在最后

如今台积电与三星奋力向2nm制程发起冲击,挑战人类技术的极限,但可能最后的赢家却不是他们。随着美日开始入局先进制程领域,依靠自身雄厚的资本以及先进的原材料与设备,极有可能在几年时间内便实现2nm的量产,与台积电、三星处于同一起跑线。

按照美国一切以自身利益为优先的性格,一旦有了本土的先进工艺制造产线,对于台积电与三星的订单也将造成一定的挤压。当然,即便没有了美国与日本市场,但中国仍然是一个开放且最合适的合作伙伴,目前距离2025年还有一段时间,或许三星与台积电可以再思考下未来的发展方向。
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 台积电
    +关注

    关注

    43

    文章

    5274

    浏览量

    164791
  • 先进制程
    +关注

    关注

    0

    文章

    66

    浏览量

    8329
  • 2nm
    2nm
    +关注

    关注

    1

    文章

    195

    浏览量

    4354
收藏 人收藏

    评论

    相关推荐

    台积电冲刺2nm量产,2nm先进制程决战2025

    人员接手试产及量产作业的种子团队,推动新竹宝山和高雄厂于 2024年同步南北试产、2025年量产。   从1971的10000nm制程到5nm,从5nm向3
    的头像 发表于 08-20 08:32 2151次阅读
    台积电冲刺<b class='flag-5'>2nm</b>量产,<b class='flag-5'>2nm</b>先进制程决战<b class='flag-5'>2025</b>

    战略调整:冲刺2nm,大扩产.

    行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年03月26日 16:34:54

    三星重磅宣布:2025开始量产2nm制程

    行业芯事行业资讯
    电子发烧友网官方
    发布于 :2024年02月05日 11:19:42

    2nm抢单!三星竞争

    行业芯事行业资讯
    深圳市浮思特科技有限公司
    发布于 :2023年12月13日 15:15:49

    2nm意味着什么?2nm何时到来?它与3nm有何不同?

    3nm工艺刚量产,业界就已经在讨论2nm了,并且在调整相关的时间表。2nm工艺不仅对晶圆厂来说是一个重大挑战,同样也考验着EDA公司,以及在此基础上设计芯片的客户。
    的头像 发表于 12-06 09:09 824次阅读

    台积电有望2025年量产2nm芯片

           在台积电的法人说明会上据台积电总裁魏哲家透露台积电有望2025年量产2nm芯片。 目前,台积电已经开始量产3nm工艺; 台湾新竹宝山、高雄两座工厂的2nm芯片计划2024
    的头像 发表于 10-20 12:06 968次阅读

    2nm芯片什么时候出 2nm芯片手机有哪些

    N2,也就是2nm,将采用GAAFET全环绕栅极晶体管技术,预计2025年实现量产。 2nm芯片是指采用了2nm制程工艺所制造出来的芯片,制程工艺的节点尺寸表示芯片上元件的最小尺寸。这
    的头像 发表于 10-19 17:06 903次阅读

    2nm芯片是什么意思 2nm芯片什么时候量产

    2nm芯片是什么意思 2nm芯片指的是采用了2nm制程工艺所制造出来的芯片,制程工艺的节点尺寸表示芯片上元件的最小尺寸。这意味着芯片上的晶体管和其他电子元件的尺寸可以达到2纳米级别。 更小的节点尺寸
    的头像 发表于 10-19 16:59 2262次阅读

    2nm芯片工艺有望破冰吗?

    芯片2nm
    亿佰特物联网应用专家
    发布于 :2023年10月11日 14:52:41

    来看看“不约而同”的2nm时间轴进程

    作为行业老大,台积电称将如期在2025年上线2nm工艺,2025年下半年进入量产。2nm可谓是台积电的一个重大节点,该工艺将采用纳米片晶体管(Nanosheet),取代FinFET,意
    的头像 发表于 08-07 16:22 488次阅读

    三星2nm,走向背面供电

    背面实施流程已通过成功的 SF2 测试芯片流片得到验证。这是 2nm 设计的一项关键功能,但可能会受到三星、英特尔和台积电缺乏布线的限制,而是在晶圆背面布线并使用过孔连接电源线。
    的头像 发表于 07-05 09:51 497次阅读

    三星电子2nm制程工艺计划2025年量产 2027年开始用于代工汽车芯片

    外媒在报道中提到,根据公布的计划,三星电子将在2025年开始,采用2nm制程工艺量产移动设备应用所需的芯片,2026年开始量产高性能计算设备的芯片,2027年则是利用2nm制程工艺开始
    的头像 发表于 06-30 16:55 489次阅读

    2nm大战 全面打响

    在芯片制造领域,3nm方兴未艾,围绕着2nm的竞争已经全面打响。
    的头像 发表于 06-28 15:58 494次阅读
    <b class='flag-5'>2nm</b>大战 全面打响

    NUC972上启动流程,IROM和ISRAM都不是对应0地址是怎么回事?

    参考其它ARM芯片的启动流程,网上这样说的,上后,从0地址开始执行,如果是从NAND启动,则IROM自动加载NAND的几KB数据到ISRAM运行,1、但是IROM和ISRAM都不是对应0地址啊
    发表于 06-15 06:31

    MLCC龙头涨价;车厂砍单芯片;28nm设备订单全部取消!

    %。西安二厂预计将生产13.5万片,比之前的14.5万片减少了约7%。业界观察人士认为,三星选择砍掉部分NAND产能,因为当前内存市场形势惨淡。 【28
    发表于 05-10 10:54