0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何使用FPGA驱动并行ADC和并行DAC芯片

FPGA设计论坛 来源:CSDN技术社区 作者:FPGADesigner 2022-04-21 08:55 次阅读

概述

ADCDACFPGA与外部信号接口,从数据接口类型的角度划分,有低速的串行接口和高速的并行接口。FPGA经常用来采集中高频信号,因此使用并行ADC和DAC居多。本文将介绍如何使用FPGA驱动并行ADC和并行DAC芯片

并行接口包括两种数字编码方式:带符号数signed与无符号数unsigned。本文还将介绍使用不同编码方式的ADC与DAC时需要注意的问题。

接口协议

ADI公司的32M、8位ADC芯片AD9280和125M、8位DAC芯片AD9708为例(这是淘宝上最容易买到的AD/DA模块)。

AD9280的时序图如下:

43c7b4a4-c100-11ec-bce3-dac502259ad0.jpg

AD9708的时序图如下:

43e84dd6-c100-11ec-bce3-dac502259ad0.jpg

由时序图可知,AD9280在每个输入clock的上升沿对输入的模拟信号做一次采集,采集数据由数据总线data输出;AD9708也是在每个输入clock的上升沿读取数据总线DB0-DB7上的数据,将其转换为相应的电流IOUTA/IOUTB输出。

这两个芯片的管脚虽然很多,但大多数都是与硬件设计有关。其实几乎所有的并行ADC和并行DAC与FPGA之间的接口只有一条时钟线与一组数据总线,数据总线的位宽即为ADC/DAC的位数。每个时钟周期ADC都会完成一次采集(DAC完成一次输出),因此时钟频率也就是ADC和DAC的采样频率。

FPGA设计

并行ADC和DAC的接口时序驱动非常简单,只要利用Quartus或Vivado自带的时钟管理IP核生成预期采样频率的时钟信号,驱动时钟线,从数据总线上读出或写入数据即可。

比如下面的代码实现了将ADC采集到的数据再通过DAC输出

`timescale 1ns / 1ps

//-----------------------------------------------

// 将ADC采集到的数据通过DAC输出

//-----------------------------------------------

module adda_test

(

input clk,

output daclk,

output [7:0] dadata, //DA data

output adclk,

input [7:0] addata //AD data

);

PLL PLL_inst

(

.clk_in1(clk), // IN

// Clock out ports

.clk_out1(adclk), // OUT 32Mhz

.clk_out2(daclk), // OUT 32Mhz

// Status and control signals

.reset(1'b0), // IN

.locked()

);

assign dadata = addata;

endmodule

上述代码中实例化了一个PLL IP核产生ADC和DAC所需频率的时钟,Quartus中该IP核叫做“PLL”,Vivado中该IP核叫做“Clocking Wizard”。

为了保证DAC输出与ADC采集到的信号相同,将两者时钟频率设置相同,且连接二者的数据总线。上述代码可以使用开发板和AD/DA模块进行实际测试。

编码方式问题

上文用到的AD9280和AD9708都是无符号数编码,而我们知道无论是Vivado还是Quartus中大多数的IP核采用的都是带符号数二进制补码的编码方式,这就导致ADC/DAC的数据总线不能与IP核接口直接对接,必须做一定的转换处理。

考虑到上述数字系统的特点,市场上也存在不少以带符号数二进制补码接口的ADC/DAC,比如65M、12位ADC芯片AD9226。如果使用这种编码方式的芯片,数据总线就可以直接与IP核接口对接,不需要做特殊处理。

但是,我们总会不可避免的遇到类似这样的情况:

1. ADC或DAC是无符号数编码,而设计中需要使用一些带符号数接口的IP核;

2. ADC是带符号数编码,而设计中仅需获取测量值,并不需要与其它带符号数接口的模块对接。

当遇到情况1时,需要进行无符号数编码与带符号数编码之间的转换。将ADC采集到的8位无符号数转换为带符号数补码形式的代码如下:

/**** 将addata转化为带符号二进制补码形式 ****/

reg [7:0] ad_data;

always @ (posedge clk or negedge rst_n)

if (!rst_n) ad_data <= 8'd0;

else ad_data <= addata - 128;    //AD9280采集输入

将带符号数补码转换为8位无符号数通过DAC输出的代码如下:

/**** 将dadata转化为无符号数形式 ****/

reg [7:0] da_data;

always @ (posedge clk or negedge rst_n)

if (!rst_n) da_data <= 8'd0;

else da_data <= dadata + 128;    //AD9708输出

当遇到情况2时,需要将不易直接观察的带符号数补码形式转换为带符号数原码形式,使其更加直观。代码如下:

always @(posedge ad_clk) //AD9226采集

if(ad_ch1[11]==1'b1) begin //如果是负电压

ch1_reg<=12'hfff - ad_ch1 + 1'b1;

ch1_sig <= 45;                                //'-' asic码

end

else begin

ch1_reg<=ad_ch1;

ch1_sig<=43;                                //'-' asic码

end

转换的依据是一个简单的运算关系:“补码的整数值”+“原码绝对值的整数值”=2^B,B为位宽。比如带符号数原码1110的补码为1010:1110取绝对值0110为6;1010为10,二者加起来为2^4=16。

上述代码便是利用了这个运算关系。为了节省位宽,先用12’hfff减掉补码,再加1,达到同样的效果,得到带符号数原码的绝对值。根据符号位便可以知道这个原码的正负情况。

原文标题:FPGA学习—并行ADC与DAC

文章出处:【微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

审核编辑:汤梓红
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1600

    文章

    21281

    浏览量

    592853
  • adc
    adc
    +关注

    关注

    95

    文章

    5643

    浏览量

    539294
  • dac
    dac
    +关注

    关注

    43

    文章

    1971

    浏览量

    189445

原文标题:FPGA学习—并行ADC与DAC

文章出处:【微信号:gh_9d70b445f494,微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    基于FPGA的ARM并行总线设计与仿真分析

    由于FPGA技术和ARM技术应用越来越广泛,通过设计并行总线接口来实现两者之间的数据交换,可以较容易地解决快速传输数据的需求,因此设计满足系统要求的FPGA并行总线显得尤为重要。本文设
    发表于 08-15 10:44 7247次阅读
    基于<b class='flag-5'>FPGA</b>的ARM<b class='flag-5'>并行</b>总线设计与仿真分析

    如何使用FPGA驱动并行ADC并行DAC芯片

    ADCDACFPGA与外部信号的接口,从数据接口类型的角度划分,有低速的串行接口和高速的并行接口。
    的头像 发表于 02-22 16:15 2090次阅读
    如何使用<b class='flag-5'>FPGA</b><b class='flag-5'>驱动</b><b class='flag-5'>并行</b><b class='flag-5'>ADC</b>和<b class='flag-5'>并行</b><b class='flag-5'>DAC</b><b class='flag-5'>芯片</b>?

    小试身手——FPGA驱动DAC7621

    , 双向数据端下图为DAC7621的时序:并行的DA还是蛮容易写的,直接送数即可,下面是FPGA Verilog HDl的部分代码:s1: begin cs
    发表于 01-16 14:57

    fpga基础篇(二):三大并行结构

    `fpga基础篇(二):三大并行结构最近小编比较忙,所以这期给大家介绍一个基础篇,比较简单,但却是FPGA编程的基础。我们知道FPGA与单片机最大的区别就是
    发表于 04-13 10:23

    请问是否有并行ADC并行DAC接口卡让我能够使用FX2 FMC连接器连接到FPGA板?

    嗨,您能指定哪种ADC / DAC适合Spartan 3AN入门套件吗?是否有任何生产(由任何公司)并行ADC并行
    发表于 06-20 14:07

    FPGA驱动并行ADC&DAC

    驱动并行ADC并行DAC芯片并行接口包括两种数字
    发表于 09-27 09:40

    【每日推荐】十七种电路设计原理图解析,这里全告诉你了

    和高速的并行接口。FPGA经常用来采集中高频信号,因此使用并行ADCDAC居多。本文将介绍如何使用FP
    发表于 09-27 13:56

    在pcb设计中FPGA与高速并行DAC的布线应该注意什么?

    在pcb设计中FPGA与高速并行DAC的布线应该注意什么?
    发表于 04-11 17:30

    基于FPGA的ARM并行总线研究与仿真

    基于FPGA的ARM并行总线研究与仿真
    发表于 01-24 16:54 19次下载

    FPGA电源设计在并行工程中的应用

    本文介绍了FPGA电源设计并行工程的合理性,讲解了并行工程(CE)技术及其作用,讨论了FPGA电源系统设计的复杂性和不确定性。
    发表于 10-13 13:00 5次下载
    <b class='flag-5'>FPGA</b>电源设计在<b class='flag-5'>并行</b>工程中的应用

    基于FPGA和多DSP的多总线并行处理器设计

    基于FPGA和多DSP的多总线并行处理器设计
    发表于 10-19 13:40 4次下载
    基于<b class='flag-5'>FPGA</b>和多DSP的多总线<b class='flag-5'>并行</b>处理器设计

    AD9831:25 MHz并行加载DDS芯片10位DAC数据交换

    AD9831:25 MHz并行加载DDS芯片10位DAC数据交换
    发表于 05-10 12:19 5次下载
    AD9831:25 MHz<b class='flag-5'>并行</b>加载DDS<b class='flag-5'>芯片</b>10位<b class='flag-5'>DAC</b>数据交换

    基于数字后处理算法的并行交替采样ADC系统

    ,为各ADC通道提供交替采样时钟:在FPGA芯片双倍速I/0和内部集成锁相环的支持下,使用单片FPGA芯片接收
    发表于 09-17 12:22 5次下载
    基于数字后处理算法的<b class='flag-5'>并行</b>交替采样<b class='flag-5'>ADC</b>系统

    基于FPGA的ARM并行总线设计原理

    电子发烧友网站提供《基于FPGA的ARM并行总线设计原理.pdf》资料免费下载
    发表于 10-10 09:31 0次下载
    基于<b class='flag-5'>FPGA</b>的ARM<b class='flag-5'>并行</b>总线设计原理

    并行接口的ADCDAC的测试方法

    并行接口的ADCDAC的测试方法 ADCDAC是两种最常见的数据转换器,用于模数(ADC)和
    的头像 发表于 11-07 10:21 1020次阅读