0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

2nm量产面临哪些问题

安富利 来源:安富利 作者:安富利 2022-01-05 10:01 次阅读

导读

近日,台积电2nm工艺fab20工厂获批,最快到2024年下半年可实现量产的消息令半导体业界炸开了锅。那么2nm意味着什么?它到底能给业界带来怎样的改变?

PS:文末互动有礼

何为2nm工艺?

2nm工艺其实指代的就是芯片工艺制程,此前我们所听到的14nm、10nm以及7nm都是如此。制程工艺的每一次提升,都将带来性能的大幅增强和功耗的显著降低,而2nm就是目前业内最先进的制程。

这个从几到十几纳米的数字又是怎么计算出来的?为什么这个数字越小越好呢?以14nm工艺为例,在下图晶体管示意图中,14nm指代的就是从Drain端到Source端的距离。

图1:晶体管示意图

缩小晶体管最主要目的就是要减少耗电量,借助缩短闸极长度(Drain端到Source端),电流就可以用更短的路径实现导通。而缩减元器件之间的距离之后,晶体管之间的电容也会更低,其开关频率也能够得以提升,晶体管在开关切换时的能量消耗更低。此外,更小的晶体管只需要更低的导通电压,而动态功耗又与电压的平方成正比,这时能效便会随之提升。

除了功耗和能效方面的考量,推动半导体制造商向更小的工艺尺寸进发的最大动力,就是成本的降低。组件越小,同一片晶圆可切割出来的芯片就更多。即便更小的工艺需要更昂贵的设备,其投资成本也可以被产生出更多芯片带来的价值所囊括。

不过,随着工艺制程的发展,“变小”正在变得越来越困难。来到7nm以下后,静态功耗成为一个严重的问题,而其所带来的功耗和性能优势也开始减少。过去晶体管规格微缩70%预期可带来性能提高40%,面积减少50%的好处。现在,想要实现性能提升在15%至20%的范围,就需要更复杂的流程、新的材料和不一样的制造设备。与此同时,有能力制造先进节点芯片的公司数量在不断减少,其中一个关键的原因是新节点所带来的昂贵的成本,例如台积电最先进的300mm晶圆厂就耗资了200亿美元。为了降低成本,芯片制造商已经开始部署比过去更加异构的新架构,并且他们对于在最新工艺节点上制造的芯片变得越来越挑剔。

群雄竞逐2nm

半导体巨头们似乎没有畏惧,2nm目前仍然是各大半导体巨头角逐的制高点,IBM早些时候已在实验室内率先公布了2nm芯片,而除了台积电、三星两大代工巨头,欧洲、日本等地也在紧锣密鼓地进行规划。

Digitimes发表的一份研究报告显示了三星、台积电、英特尔和IBM四家的半导体制程工艺密度。目前已经公开的2nm节点数据表明,IBM之前联合三星等公司发布的2nm工艺密度大约是3.33亿/mm2 ,台积电的目标则是4.9亿/mm2。

不同于之前世代在相同的基础架构上不断演进,台积电的2nm工艺最大特点就是会首次引入纳米片(Nanosheet)晶体管,取代现在的FinFET结构。

图2:纳米片晶体管在Vt上的表现(图片源于台积电)

纳米片晶体管最大的优势就是可以更好地控制阈值电压(Vt)。在半导体领域,Vt是电路运行所需的最低电压,它的任何轻微波动,都会显著影响芯片的设计和性能,其波动数值越小,对于系统的益处越大。台积电宣称,根据试验,目前纳米片晶体管可将Vt波动降低至少15%。

IBM也不甘示弱,今年5月份,IBM宣布推出全球首个2nm芯片制造技术,与7nm的技术相比,预计将带来45%的性能提升或75%的能耗降低。而比起当前最尖端的5nm芯片,2nm芯片的体积更小,速度更快,其中的核心技术则是源于IBM所采用的新型纳米片堆叠晶体管,也被称为gate all around或GAA晶体管。

图3:IBM的GAA晶体管示意图(图片源自IBM)

IBM的三层GAA纳米片,每片纳米片宽40nm,高5nm,间距44nm,栅极长度12nm。该芯片首次使用了底部电介质隔离,实现12nm的栅极长度,可以减少电流泄漏,有助于减少芯片上的功耗。该芯片另一个新技术就是IBM提出的内部空间干燥工艺,这有助于实现纳米片的开发,并且该芯片广泛地使用EUV技术,例如在芯片的制造过程的前端进行EUV图案化。而这样的技术最终可以让2nm芯片所需的制造步骤比7nm少得多,从而降低成品晶圆的成本。

2nm量产面临的问题

在制程工艺的演进中,互连技术的跟进是十分关键的因素。传统上一般采用铜互连,但是当发展到2nm时,相应的电阻电容(RC)延迟问题显得非常突出,因此行业正在积极寻找铜的替代方案。

目前,面向2nm先进制程的新型互连技术主要包括:混合金属化或预填充,将不同的金属嵌套工艺与新材料相结合,以实现更小的互连和更少的延迟;半金属嵌套,使用减法蚀刻,实现微小的互连;超级通孔、石墨烯互连以及其他技术。同时,业界还一直探索在互连中使用钌材料作为衬垫。钌可以改善铜的润湿性和填充间隙,但也存在一些缺点,例如电迁移寿命较短,需要面对化学机械抛光等单元工艺的挑战,这也加大了钌衬垫的使用难度。

随着2nm逐步实现量产以及商用化,其它新的互连解决方案也会陆续出现。根据IMEC的路线图,预计行业会从今天的双金属嵌套工艺转移到下一代技术,即2nm混合金属化,接下来还将出现有半金属嵌套和其它方案。

据悉,台积电在材料上的研究也实现了突破。台积电和台交大联手,开发出了全球最薄、厚度只有0.7纳米的超薄二维半导体材料绝缘体,可有望借此进一步开发出2nm,甚至是1nm的晶体管通道。这也让2nm及更先进制程量产成为可能。

除了互连技术,目前EUV光刻机对于2nm和更先进制程工艺的重要性越来越高,但是EUV设备的产量依然是一大难题,超高的技术门槛和高额的研发费用令其注定只能是属于“少数人的游戏”,而且巨大能耗也限制了它发展的空间。

总体来讲,先进制程芯片的量产是一项系统工程,需要产业链上下游、特别是上游的设备、材料、IP等技术厂商都拿出看家本领,才能应对如此高精尖的芯片制造要求,所有这些形成合力,才能制造出高晶体管密度、高性能、低功耗的先进制程芯片。

2nm真的有意义吗?

也许有人会质疑,如今摩尔定律的效用在逐渐减弱,继续投入高成本追求先进制程,能否带来合理的投入产出比?业内对于先进制程的追捧是否真的有意义?

从上文各家企业的行动和取得的进展来看,“拥抱2nm”不仅是大势所趋,而且会给行业带来积极和长远的影响。台积电就曾表示过,2nm工艺意义重大,如果2nm工艺能够成功量产,那么意味着半导体生产技术在现有的条件下将继续逼近物理极限。未来数十年芯片的发展是继续优化还是走向其他路线,都要以2nm工艺的研发进度来决定。

原文标题:拥抱2nm时代 我们准备好了么?

文章出处:【微信公众号:安富利】欢迎添加关注!文章转载请注明出处。

审核编辑:彭菁

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    447

    文章

    47769

    浏览量

    409072
  • 晶体管
    +关注

    关注

    76

    文章

    9053

    浏览量

    135176
  • 2nm
    2nm
    +关注

    关注

    1

    文章

    195

    浏览量

    4355

原文标题:拥抱2nm时代 我们准备好了么?

文章出处:【微信号:AvnetAsia,微信公众号:安富利】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    台积电冲刺2nm量产2nm先进制程决战2025

    电子发烧友网报道(文/李宁远)近日有消息称,台积电将组建2nm任务团冲刺2nm试产及量产。根据相关信息,这个任务编组同时编制宝山及高雄厂量产前研发(RDPC)团队人员,将成为协助宝山厂
    的头像 发表于 08-20 08:32 2152次阅读
    台积电冲刺<b class='flag-5'>2nm</b><b class='flag-5'>量产</b>,<b class='flag-5'>2nm</b>先进制程决战2025

    三星电子澄清:3nm芯片并非更名2nm,下半年将量产

    李时荣声称,“客户对代工企业的产品竞争力与稳定供应有严格要求,而4nm工艺已步入成熟良率阶段。我们正积极筹备后半年第二代3nm工艺及明年2nm工艺的量产,并积极与潜在客户协商。”
    的头像 发表于 03-21 15:51 154次阅读

    台积电2nm制程稳步推进,2025年将实现量产

    得益于2nm制程项目的顺利推进,宝山、高雄新晶圆厂的建造工程正有序进行。台中科学园区已初步确定了A14与A10生产线的布局,具体是否增设2nm制程工艺将根据市场需求再定。
    的头像 发表于 01-16 09:40 246次阅读

    台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025 年量产

    12 月 14 日消息,台积电在近日举办的 IEEE 国际电子器件会议(IEDM)的小组研讨会上透露,其 1.4nm 级工艺制程研发已经全面展开。同时,台积电重申,2nm 级制程将按计划于 2025
    的头像 发表于 12-18 15:13 217次阅读

    今日看点丨台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025 年量产;消息称字节跳动将取消下一代 VR 头显

    1. 台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025 年量产   台积电在近日举办的 IEEE 国际电子器件会议(IEDM)的小组研讨会上透露,其 1.4nm 级工
    发表于 12-14 11:16 764次阅读

    2nm意味着什么?2nm何时到来?它与3nm有何不同?

    3nm工艺刚量产,业界就已经在讨论2nm了,并且在调整相关的时间表。2nm工艺不仅对晶圆厂来说是一个重大挑战,同样也考验着EDA公司,以及在此基础上设计芯片的客户。
    的头像 发表于 12-06 09:09 824次阅读

    台积电有望2025年量产2nm芯片

           在台积电的法人说明会上据台积电总裁魏哲家透露台积电有望2025年量产2nm芯片。 目前,台积电已经开始量产3nm工艺; 台湾新竹宝山、高雄两座工厂的
    的头像 发表于 10-20 12:06 968次阅读

    2nm芯片什么时候出 2nm芯片手机有哪些

    N2,也就是2nm,将采用GAAFET全环绕栅极晶体管技术,预计2025年实现量产2nm芯片是指采用了2nm制程工艺所制造出来的芯片,制程工艺的节点尺寸表示芯片上元件的最小尺寸。这
    的头像 发表于 10-19 17:06 903次阅读

    2nm芯片是什么意思 2nm芯片什么时候量产

    可以容纳更多的晶体管在同样的芯片面积上,从而提供更高的集成度和处理能力。此外,较小的节点尺寸还可以降低电路的功耗,提供更高的能效。可以说,2nm芯片代表了制程工艺的最新进展和技术创新。 2nm芯片什么时候量产
    的头像 发表于 10-19 16:59 2262次阅读

    2nm芯片工艺有望破冰吗?

    芯片2nm
    亿佰特物联网应用专家
    发布于 :2023年10月11日 14:52:41

    来看看“不约而同”的2nm时间轴进程

    作为行业老大,台积电称将如期在2025年上线2nm工艺,2025年下半年进入量产2nm可谓是台积电的一个重大节点,该工艺将采用纳米片晶体管(Nanosheet),取代FinFET,意味着台积电工艺正式进入GAA时代。
    的头像 发表于 08-07 16:22 488次阅读

    台积电高雄厂28nm计划改为2nm

    据台媒援引消息人士报道,由于需要应对 AI 浪潮,台积电将改变高雄建厂计划,计划由原先的“成熟制程”更改为更先进的 2nm 制程,预计 2025 年下半年量产,且相关建厂规划也将在近期宣布。
    的头像 发表于 07-22 16:32 911次阅读

    台积电放弃28nm工厂,改建2nm

    据了解,台积电已将高雄厂敲定2nm计划向经济部及高雄市政府提报,希望政府协助后续供水及供电作业。因2nm制程将采用更耗电的极紫外光(EUV)微影设备,耗电量比位于南科的3nm更大,台积电高雄厂改为直接切入
    的头像 发表于 07-18 15:19 722次阅读
    台积电放弃28<b class='flag-5'>nm</b>工厂,改建<b class='flag-5'>2nm</b>?

    三星电子2nm制程工艺计划2025年量产 2027年开始用于代工汽车芯片

    外媒在报道中提到,根据公布的计划,三星电子将在2025年开始,采用2nm制程工艺量产移动设备应用所需的芯片,2026年开始量产高性能计算设备的芯片,2027年则是利用2nm制程工艺开始
    的头像 发表于 06-30 16:55 489次阅读

    2nm大战 全面打响

    在芯片制造领域,3nm方兴未艾,围绕着2nm的竞争已经全面打响。
    的头像 发表于 06-28 15:58 494次阅读
    <b class='flag-5'>2nm</b>大战 全面打响