0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

74LS194引脚图及功能

汽车玩家 来源:hqew 华仔 作者:hqew 华仔 2021-06-25 16:51 次阅读

1.移位寄存器是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移

74 LS194是一个4位双向移位寄存器,最高时钟脉冲为36MHZ,其逻辑符号及引脚排列如图1所示:

图1 74 LS194逻辑符号及引脚排列

其中:D0~D1为并行输入端;Q0~Q3为并行输出端;SR--右移串引输入端;SL--左移串引输入端;S1、S0-操作模式控制端; -为直接无条件清零端;CP-为时钟脉冲输入端。74LS194模式控制及状态输出如表1所示。

表1


表1

2. 用74 LS194构成8位移位寄存器

电路如图2所示,将芯片(1)的Q3)接至芯片(2)的SR,将芯片(2)的Q4接至芯片(1)的SL,即可构成8位的移位寄存器。

图2为8位的移位寄存器

3. 74 LS194构成环形计数器

把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位,如图3所示。设初态为Q3Q2Q1Q0=1000,则在CP作用下,模式设为右移,输出状态依次为:

图3环形计数器

图3电路是一个有四个有效状态的计数器,这种类型计数器通常称为环形计数器。同时输出端输出脉冲在时间上有先后顺序,因此也可以作为顺序脉冲发生器。

文章来源:hqew 华仔

编辑:ymf

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 移位寄存器
    +关注

    关注

    2

    文章

    181

    浏览量

    22017
  • 引脚图
    +关注

    关注

    28

    文章

    111

    浏览量

    34780
  • 74ls194
    +关注

    关注

    2

    文章

    17

    浏览量

    9432
收藏 人收藏

    评论

    相关推荐

    基于multisim 74ls194流水灯 以及 ADC/DAC

    使用移位寄存器74ls194实现流水灯控制,以及简单的ADC/DAC原理仿真。版本:multisim 13
    发表于 04-04 14:55

    请问用74ls194做八位流水灯怎么做?

    74ls194做八位流水灯怎么做?
    发表于 10-15 16:19

    74LS194彩灯时钟频率小于10Hz的时候只能运行一次就弹窗

    1、背景:用两片74LS194做8输出的彩灯2、问题:当时钟频率大于10Hz的时候彩灯正常移位,小于10Hz的时候只能运行一次就弹窗,如图。(194从置数状态变成左移或者右移状态时,弹窗)3、S1\S2用来控制74LS194的状
    发表于 01-02 20:24

    74LS194设计16分频器

    如何使用74LS194设计一个16分频器啊求电路
    发表于 11-30 16:23

    74ls194怎么实现串行数据到并行数据的转换

    74LS194引脚功能74LS194功能特征74ls194串行数据到并行数据的转换
    发表于 03-02 07:00

    74LS194中文资料.pdf

    4 位双向移位寄存器(并行存取) 54194/7419454S194/74S19454LS194/74LS194194 为 4 位双向移位寄存器,共有 54194/74194、54S194
    发表于 03-15 12:56 318次下载

    74ls194引脚图及功能_74ls194功能表_74ls194应用电路

    本文主要介绍了74ls194引脚图及功能74ls194功能表、工作条件、结构图与时序图、74ls194
    发表于 12-22 08:57 33.5w次阅读
    <b class='flag-5'>74ls194</b><b class='flag-5'>引脚</b>图及<b class='flag-5'>功能</b>_<b class='flag-5'>74ls194</b><b class='flag-5'>功能</b>表_<b class='flag-5'>74ls194</b>应用电路

    74ls194控制8个流水灯的设计

    本文介绍了555定时器与74ls194进行了详细的说明。然后采用两者的结合详解的介绍了一个74ls194控制8个流水灯的设计方案详情。
    发表于 12-22 09:39 13.1w次阅读
    <b class='flag-5'>74ls194</b>控制8个流水灯的设计

    移位寄存器74ls194_74ls194逻辑功能

    移位寄存器是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。74LS194是一个4位双向移位寄存器,最高时钟脉冲为36MHZ.。本文主要介绍了74ls194逻辑图、74LS194引脚
    发表于 12-22 10:57 7w次阅读
    移位寄存器<b class='flag-5'>74ls194_74ls194</b>逻辑<b class='flag-5'>功能</b>表

    74LS194在循环彩灯控制中的应用

    在现代生活和实际工作中,循环彩灯的应用很多,双向移位寄存器74LS194的应用非常广泛,将其用在循环彩灯控制电路中,可使电路简单,容易实现,能较灵活地实现各种控制要求,具有良好的实际效果。本文就详细的介绍了74LS194在循环彩灯控制中的应用详情。
    发表于 12-22 13:37 3w次阅读
    <b class='flag-5'>74LS194</b>在循环彩灯控制中的应用

    74ls194串行数据到并行数据的转换

    移位寄存器是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。本文主要介绍了74LS194引脚功能与特征,其次详细的说明了74ls194串行数据到并行数据的转换。
    发表于 12-22 14:18 2.5w次阅读
    <b class='flag-5'>74ls194</b>串行数据到并行数据的转换

    jk触发器实现74ls194功能

    JK触发器是数字电路触发器中的一种基本电路单元。本文以jk触发器为中心,主要介绍了JK触发器工作特性以及jk触发器是如何实现74ls194功能的。
    发表于 12-22 16:09 2.2w次阅读
    jk触发器实现<b class='flag-5'>74ls194</b><b class='flag-5'>功能</b>

    74ls194结构及应用电路详解

    74LS194作为四位双向移存器,目前已经得到广泛的运用。本文主要介绍了74LS194引脚图、74LS194结构框图已经74LS194移位
    发表于 12-22 17:03 3.9w次阅读
    <b class='flag-5'>74ls194</b>结构及应用电路详解

    Verilog实现74LS194芯片设计程序

    Verilog作为一种种硬件描述语言目前已经得到了普遍运用。本文主要介绍了Verilog特点、Verilog用途以及Verilog实现74LS194芯片的程序介绍。
    发表于 12-22 17:26 6337次阅读

    74LS194移位寄存器的3D实验原理图免费下载

    本文档的主要内容详细介绍的是74LS194移位寄存器的3D实验原理图免费下载。
    发表于 03-25 16:06 40次下载