0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何写一个简易AM信号的FPGA实现?

FPGA之家 来源:bug记录 作者:bug记录 2021-03-29 11:40 次阅读

前几天,临危受命,帮几个初学者的学生写一个简易AM信号FPGA实现,以帮助他们搭建一个相对完整的系统,测试他们的低速ADC,高速DAC,AGC控制等等模块。本文的FPGA代码已经经过上板测试,由于时间紧急没有保存图片,所以文章只有仿真图片。

首先,为什么是AM信号的调制过程,是因为在短时间情况下,AM信号的实现相对简单,而且上述提到的几个模块都可以得到使用和验证。因为FPGA的价格因素,做课设/比赛使用最多的还是Altera的Cyclone系列板。所以,本文使用Quartus II 13.1 作为Verilog代码综合实现工具。AM信号调制过程就不做过多介绍了,简单来说,就是基带信号和一个直流信号相加,然后与载波相乘。那么,在实现过程中,做了些许改变,先让基带信号与载波相乘,然后将截位后的信号与载波相加。如果想要实现更精细的AM信号调制(变更调制深度等参数),可以修改这个地方的先后顺序。AM公式表达如下:

为直流信号,是基带信号,是载波信号。

9f5cb222-8ecc-11eb-8b86-12bb97331649.png

实现过程如上图,顶层文件使用BSF文件,更为直观。由上图可以看出,基带信号和载波信号是由NCO(DDS)产生,在上板验证中,低频部分的NCO被删去,由低速ADC采样外部信号替换这部分的低频信号。所以在刚开始搭建模块时,低速NCO输出信号位宽就兼容了低速ADC的16位位宽。根据资料1可得,Altera提供的NCO是根据32位输入信号phi_inc_i而输出对应的频率的正弦波。公式为:

是NCO预设输出正弦波频率,是输入时钟频率,是NCO的相位精度。这里的设置是32位。NCO(DDS)的本质是ROM表,ROM表存储着正弦波的波形数据,相位精度代表波形数据的多少。根据phi_inc_i累加地址,ROM根据地址输出波形数据,最后数据计算如下。

基带载波

频率(MHz)0.110

phi_inc_i8589935858993459

然后让基带和载波信号相乘,使用LPM_MULT IP核,设置好位宽,数据类型设为“signed”,设置流水线(增加clock),延迟设为1,便于后续通过时序检查。相乘输出信号位宽为32位,保险起见我们只截取最高位的符号位,再截取低位的数据。但是经过上板测试和仿真,符号位实际上有很多位。当然,这部分可以将符号位进行优化,或者设置一个模块,根据输入的参数输出不一样的截位信号,相当于在调整调制深度。同时,将载波信号延迟一个周期,与乘法器延迟一周期的信号做好时序对齐,然后经过LPM_ADD_SUB IP核的加法运算;同样,LPM_ADD_SUB IP核设置好输入信号位宽,数据类型设为“signed”,设置流水线,延迟设为1。最后输出的信号就可以经过高速DAC输出。整个调制过程就结束了。仿真结果:

9fc47e16-8ecc-11eb-8b86-12bb97331649.png

最后思考,可以在加法器和乘法器增加一个enable端口,IP核可以增加这个输入信号;如果先加再乘,由于直流信号一般不会变动,使能信号可以等待每次低速ADC或低速NCO数值更新再拉高一次;乘法器也可以使用使能信号控制,这样的话性能可能没有变化,功耗或许可降低些。此外,使用VCS仿真NCO的nco.vo文件时,一直遇到一个问题,报错如下:

a01b5a88-8ecc-11eb-8b86-12bb97331649.png

大概是说NCO生成的4个hex文件有问题,但是使用modelsim就没这个问题了。

原文标题:简易AM信号调制的FPGA实现过程简单讲解

文章出处:【微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1592

    文章

    21207

    浏览量

    592137
  • 加速器
    +关注

    关注

    2

    文章

    723

    浏览量

    36435

原文标题:简易AM信号调制的FPGA实现过程简单讲解

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    基于FPGA 的DDS正弦信号发生器的设计和实现

    电子发烧友网站提供《基于FPGA 的DDS正弦信号发生器的设计和实现.pdf》资料免费下载
    发表于 03-24 09:34 0次下载

    FPGA实现原理

    布局加载到实际的FPGA上。这个过程通常通过向FPGA发送特定的二进制文件来完成。FPGA
    发表于 01-26 10:03

    射频信号源的LF源与AM调制信号源是如何调试的呢?

    射频信号源的LF源与AM调制信号源是如何调试的呢? 射频信号源是用于产生射频信号的设备。LF源和AM
    的头像 发表于 01-19 15:54 311次阅读

    请问ESP8266开发板如何写程序?

    社区送的ESP8266开发板如何写程序?是像stm32那样用Keil编程么?
    发表于 10-31 06:53

    基于FPGA的一种SDRAM控制器简易化设计方法

    电子发烧友网站提供《基于FPGA的一种SDRAM控制器简易化设计方法.pdf》资料免费下载
    发表于 10-26 09:08 0次下载
    基于<b class='flag-5'>FPGA</b>的一种SDRAM控制器<b class='flag-5'>简易</b>化设计方法

    如何自制一个AM信号

    我需要一个低失真AM信号源来馈送放大器的输入,但我能找到的每个信号发生器的AM输出失真规格都比放大器本身应满足的失真要求要差··· 我需要测试UHF线性放大器提供低失真
    的头像 发表于 10-16 10:56 456次阅读
    如何自制一个<b class='flag-5'>AM</b><b class='flag-5'>信号</b>源

    数字信号处理的FPGA实现

    FPGA正在掀起场数字信号处理的变革。本书旨在讲解前端数字信号处理算法的高效实现。首先概述了当前的FP
    发表于 09-19 06:38

    简易信号发生器的设计

    简易信号发生器的设计  信号发生器是一种能够产生可靠、标准化的交替电流、交流电压和脉冲的电子设备。在电子测试和测量、频率标准校准等领域中,信号发生器都是不可或缺的仪器。然而,通常市面上
    的头像 发表于 08-24 15:49 1210次阅读

    AM62x GPMC并口如何实现“小数据-低时延,大数据-高带宽”—ARM+FPGA低成本通信方案

    成倍增长。2、具备PCIe接口的FPGA功耗往往较大,而低成本FPGA功耗较小。般而言,低功耗器件的使用寿命也将更长。 基于CPU直接访问方式 以AM62x为例,通过GPM
    发表于 08-22 10:58

    AM62x GPMC并口如何实现“小数据-低时延,大数据-高带宽”—ARM+FPGA低成本通信方案

    133MHz。GPMC是AM62x、AM64x、AM437x、AM335x、AM57x等处理器专用于与外部存储器设备的接口,如:(1)
    的头像 发表于 08-17 09:25 597次阅读
    <b class='flag-5'>AM</b>62x GPMC并口如何<b class='flag-5'>实现</b>“小数据-低时延,大数据-高带宽”—ARM+<b class='flag-5'>FPGA</b>低成本通信方案

    什么是调制?为什么进行调制?AM调制是什么?#调制 #解调 #信号 #AM调制 #数字信号处理

    数字信号处理AM调制
    安泰小课堂
    发布于 :2023年08月03日 17:46:58

    一种基于FPGA实现的800G信号处理平台设计

    一种基于FPGA 实现的800G信号处理平台
    发表于 07-31 10:23 378次阅读
    一种基于<b class='flag-5'>FPGA</b><b class='flag-5'>实现</b>的800G<b class='flag-5'>信号</b>处理平台设计

    简易FM信号调制的FPGA实现过程讲解

    AM是幅度调制,因此只需要将基带信号与载波信号相乘;FM是频率调制,以频率的变化来表示基带信号
    发表于 06-20 14:57 1145次阅读
    <b class='flag-5'>简易</b>FM<b class='flag-5'>信号</b>调制的<b class='flag-5'>FPGA</b><b class='flag-5'>实现</b>过程讲解

    简易AM信号调制的FPGA实现过程简单讲解

    首先,为什么是AM信号的调制过程,是因为在短时间情况下,AM信号实现相对简单,而且上述提到的几个模块都可以得到使用和验证。
    发表于 06-20 14:21 621次阅读
    <b class='flag-5'>简易</b><b class='flag-5'>AM</b><b class='flag-5'>信号</b>调制的<b class='flag-5'>FPGA</b><b class='flag-5'>实现</b>过程简单讲解

    简易AM信号调制的FPGA实现过程简单讲解

    首先,为什么是AM信号的调制过程,是因为在短时间情况下,AM信号实现相对简单,而且上述提到的几个模块都可以得到使用和验证。
    发表于 06-06 17:23 976次阅读
    <b class='flag-5'>简易</b><b class='flag-5'>AM</b><b class='flag-5'>信号</b>调制的<b class='flag-5'>FPGA</b><b class='flag-5'>实现</b>过程简单讲解