0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何使用FPGA内部的ROM以及程序对该ROM的数据读操作

电子设计 来源:电子设计 作者:电子设计 2022-02-08 16:30 次阅读

作者: ALINX

适用于板卡型号:
AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E/AXU4EV-P/AXU5EV-E/AXU5EV-P /AXU9EG/AXU15EG

实验Vivado工程为“rom_test”

FPGA本身是SRAM架构的,断电之后,程序就消失,那么如何利用FPGA实现一个ROM呢,我们可以利用FPGA内部的RAM资源实现ROM,但不是真正意义上的ROM,而是每次上电都会把初始化的值先写入RAM。本实验将为大家介绍如何使用FPGA内部的ROM以及程序对该ROM的数据读操作。

1.实验原理

Xilinx在VIVADO里为我们已经提供了ROM的IP核, 我们只需通过IP核例化一个ROM,根据ROM的读时序来读取ROM中存储的数据。实验中会通过VIVADO集成的在线逻辑分析仪ila,我们可以观察ROM的读时序和从ROM中读取的数据。

2. 程序设计

2.1 创建ROM初始化文件

既然是ROM,那么我们就必须提前给它准备好数据,然后在FPGA实际运行时,我们直接读取这些ROM中预存储好的数据就行。Xilinx FPGA的片内ROM支持初始化数据配置。如下图所示,我们可以创建一个名为rom_init.coe的文件,注意后缀一定是“.coe”,前面的名称当然可以随意起。

pIYBAGAJlkSADj6fAAAXhmyP0T4901.png

ROM初始化文件的内容格式很简单, 如下图所示。第一行为定义数据格式, 16代表ROM的数据格式为16进制。从第3行开始到第34行,是这个32*8bit大小ROM的初始化数据。每行数字后面用逗号,最后一行数字结束用分号。

pIYBAGAJloGAAPqdAABmGOBr0NA634.jpg

rom_init.coe编写完成后保存一下, 接下去我们开始设计和配置ROM IP核。

2.2 添加ROM IP核

在添加ROM IP之前先新建一个rom_test的工程, 然后在工程中添加ROM IP,方法如下:

2.2.1 点击下图中IP Catalog,在右侧弹出的界面中搜索rom,找到Block Memory Generator,双击打开。

o4YBAGAJlsKACHUcAACIrr-GvG0236.jpg

2.2.2 将Component Name改为rom_ip,在Basic栏目下,将Memory Type改为Single Prot ROM。

pIYBAGAJlwmAWbGhAACSuvD2380169.jpg

2.2.3 切换到Port A Options栏目下,将ROM位宽Port A Width改为8,将ROM深度Port A Depth改为32,使能管脚Enable Port Type改为Always,并取消Primitives Output Register

o4YBAGAJl0eAAWVBAAB8UIy6iWc134.jpg

2.2.4 切换到Other Options栏目下,勾选Load Init File,点击Browse,选中之前制作好的.coe文件。

pIYBAGAJl7uAPgKHAABmm59nQ1c005.jpg

2.2.5 点击ok,点击Generate生成ip核。

pIYBAGAJl_qAZK3jAABTQh9aB0g294.jpg

3. ROM测试程序编写

ROM的程序设计非常简单, 在程序中我们只要每个时钟改变ROM的地址, ROM就会输出当前地址的内部存储数据,例化ila,用于观察地址和数据的变化。ROM IP的实例化及程序设计如下:

`timescale1ns/1ps module rom_test( input sys_clk, //25MHz时钟 input rst_n //复位,低电平有效 ); wire[7:0] rom_data; //ROM读出数据 reg [4:0] rom_addr;//ROM输入地址 //产生ROM地址读取数据 always@(posedge sys_clk ornegedge rst_n) begin if(!rst_n) rom_addr <=10'd0; else rom_addr <= rom_addr+1'b1; end //实例化ROM rom_ip rom_ip_inst ( .clka (sys_clk ),//inoput clka .addra (rom_addr ),//input [4:0] addra .douta (rom_data )//output [7:0] douta ); //实例化逻辑分析仪 ila_0 ila_m0 ( .clk (sys_clk), .probe0 (rom_addr), .probe1 (rom_data) ); endmodule

绑定引脚
##################Compress Bitstream############################
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]set_property PACKAGE_PIN AB11 [get_ports sys_clk]set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]create_clock -period 40.000 -name sys_clk -waveform {0.000 20.000} [get_ports sys_clk]set_property IOSTANDARD LVCMOS33 [get_ports {rst_n}]set_property PACKAGE_PIN W13 [get_ports {rst_n}]

4. 仿真

仿真结果如下,符合预期,与RAM的读取数据一样,数据也是滞后于地址一个周期。

5. 板上验证

以地址0为触发条件,可以看到读取的数据与仿真一致。

审核编辑:何安

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21326

    浏览量

    593230
收藏 人收藏

    评论

    相关推荐

    请问软件可以ROM TABLE吗?

    我们知道,Cortex Mx都实现了Rom table,但在ST的数据手册或者参考手册上都没有写这部分内容,即用户并不知道rom table的地址。 有人知道软件能用什么方式去读取吗?谢谢
    发表于 04-22 06:34

    rom断电后数据会丢失吗

    ROM的内容通常是在制造过程中被编程的,而且其内容在正常操作期间是只读的,用户无法对其进行改写。因此,ROM非常适用于存储固定的操作系统、固件、BIOS固件和其他嵌入式设备所需的固定
    的头像 发表于 02-09 07:38 3022次阅读

    ram和rom的作用和区别是什么

    将详细探讨RAM和ROM的作用和区别。 一、RAM的作用: 临时存储:RAM被用作临时存储器,用于计算机处理数据程序时的工作区域。当计算机启动时,操作系统和应用
    的头像 发表于 02-04 17:05 1772次阅读

    RAM和ROM的区别,哪个与CPU连接

    数据和指令,而ROM则用于存储计算机的基本操作系统和启动程序。本文将探讨RAM和ROM的区别,以及
    的头像 发表于 01-31 14:14 698次阅读

    rom与ram的主要区别 rom断电后数据会丢失吗

    ,断电后数据不会丢失。ROM中的数据在制造过程中被永久地写入芯片中,并且无法从ROM中删除或修改数据。这使得
    的头像 发表于 01-25 10:46 910次阅读

    51单片机怎么访问外部rom

    外部ram可以用xdata读写,现在要读取外部rom数据请问在程序里怎么写,比如我要读取外部rom地址0上的数据怎么
    发表于 10-20 07:37

    FPGA学习笔记:ROM IP核的使用方法

    数据文件(.mif 或.hex 格式),在 FPGA 运行时通过数据文件给 ROM 模块初始化,才使得 ROM 模块 像 “真正”的掉电非
    的头像 发表于 08-22 15:06 2118次阅读
    <b class='flag-5'>FPGA</b>学习笔记:<b class='flag-5'>ROM</b> IP核的使用方法

    0724_03 FPGA设计中ROM使用和调试讲解 - 第8节 #硬声创作季

    fpgaROM
    充八万
    发布于 :2023年08月20日 01:54:22

    0724_03 FPGA设计中ROM使用和调试讲解 - 第7节 #硬声创作季

    fpgaROM
    充八万
    发布于 :2023年08月20日 01:53:31

    0724_03 FPGA设计中ROM使用和调试讲解 - 第6节 #硬声创作季

    fpgaROM
    充八万
    发布于 :2023年08月20日 01:52:40

    0724_03 FPGA设计中ROM使用和调试讲解 - 第5节

    fpgaROM
    充八万
    发布于 :2023年08月20日 01:51:49

    0724_03 FPGA设计中ROM使用和调试讲解 - 第4节

    fpgaROM
    充八万
    发布于 :2023年08月20日 01:50:58

    0724_03 FPGA设计中ROM使用和调试讲解 - 第3节

    fpgaROM
    充八万
    发布于 :2023年08月20日 01:50:07

    0724_03 FPGA设计中ROM使用和调试讲解 - 第2节

    fpgaROM
    充八万
    发布于 :2023年08月20日 01:49:16

    0724_03 FPGA设计中ROM使用和调试讲解 - 第1节 #硬声创作季

    fpgaROM
    充八万
    发布于 :2023年08月20日 01:48:25