0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

PYNQ移植ZCU102详细流程分析

电子设计 来源:csdn 作者:vacajk 2020-12-23 10:52 次阅读

在goggle上搜zcu102 pynq可以找到一些移植方法的信息

0. Prebuilt
PYNQ移植ZCU102编译好的固件

1. 生成镜像
git clone
$ git clone https://github.com/Xilinx/PYNQ.git
$ cd PYNQ
$ git checkout v2.3
$ git checkout -b vacajk_dev

检查依赖环境,qemu,crosstool-ng
$ cd sdbuild/scripts
$ ./setup_host.sh
$ source /opt/pkg/petalinux/settings.sh
$ source /opt/Xilinx/Vivado/2018.2/settings64.sh
$ cd ../../

拷贝ZCU104的配置作为ZCU102来使用,删除不用的ZCU104petalinux配置
$ cp -rf ./boards/ZCU104 ./boards/ZCU102
$ rm -rf ./boards/ZCU102/petalinux_bsp/
$ mv ./boards/ZCU104/ZCU104.spec ./boards/ZCU102/ZCU102.spec
$ gedit ./boards/ZCU102/ZCU102.spec

修改ZCU102.spec的内容
ARCH_ZCU102 := aarch64
BSP_ZCU102 := xilinx-zcu102-v2018.2-final.bsp
STAGE4_PACKAGES_ZCU102 := ethernet

从官网上下载xilinx-zcu102-v2018.2-final.bsp,复制到./boards/ZCU102目录下从github下载的脚本能够完整的生成img文件,并会自动将所有的环境搭建完毕,但是速度很慢,看了PYNQ的官网发现能够直接下载编译好的rootfs
这样仅仅编译BOOT.bin和只包含kernel的image.ub就可以了。

网上下载的rootfs
如果准备使用下载的rootfs,在这里下载rootfs的镜像

o4YBAF9uHLyADX4iAAGP-IO00CM228.png

http://www.pynq.io/board.html
https://www.xilinx.com/member/forms/download/xef.html?filename=pynq_root...
解压后把bionic.aarch64.2.3.img复制到./sdbuild/prebuilt下
如下指令:
$ make boot_files BOARDS=ZCU102
$ make images BOARDS=ZCU102 PREBUILT=./prebuilt/bionic.aarch64.2.3.img

自行生成的rootfs
如果准备自行编译rootfs,如下指令。比较花时间且网速影响较大
$ make BOARDS=ZCU102

2. 烧写镜像
生成的img文件在./sdbuild/output/ZCU102-2.3.img
使用Win32_Disk_Imager将img烧写到SD卡中

o4YBAF9uHL2AGlWCAAA_s5XwPjg466.png

linux上可以查看SD卡分区及内容,可以看到包含一个FAT32分区用于存放BOOT.bin和image.ub
第二个分区是文件系统,ubunttu 18.04尝试启动,使用串口可以看到能够正常登陆

o4YBAF9uHMGAOMqrAAKYuSMxqHs096.png

通过网页直接连接访问ZCU102,输入密码xilinx可以打开jupyter-notebook,默认目录中有各种例子,可以进行尝试。

pIYBAF9uHMyALBlMAAv_JMvswno672.png

3. 自定义FPGA固件
因为使用的是bsp中的FPGA固件,里面的逻辑外设可能不符合要求,下面重新编辑FPGA固件,测试板上的LED和DIP SWITCH

编辑Vivado工程
使用vivado 2018.2打开xilinx-zcu102-v2018.2-final.bsp中的vivado工程

o4YBAF9uHM2AH98rAACR4GKkKg0489.png

增加两个AXI_GPIO模块,分别用于测试led和switch,添加几个其他ip用于整体系统组成

pIYBAF9uHNOAXwb0AAWo-UtGE5Y722.png

在xdc中添加IO管脚约束。
set_property PACKAGE_PIN AG14 [get_ports {led_8bits_tri_o[0]}]
set_property PACKAGE_PIN AF13 [get_ports {led_8bits_tri_o[1]}]
set_property PACKAGE_PIN AE13 [get_ports {led_8bits_tri_o[2]}]
set_property PACKAGE_PIN AJ14 [get_ports {led_8bits_tri_o[3]}]
set_property PACKAGE_PIN AJ15 [get_ports {led_8bits_tri_o[4]}]
set_property PACKAGE_PIN AH13 [get_ports {led_8bits_tri_o[5]}]
set_property PACKAGE_PIN AH14 [get_ports {led_8bits_tri_o[6]}]
set_property PACKAGE_PIN AL12 [get_ports {led_8bits_tri_o[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led_8bits_tri_o[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led_8bits_tri_o[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led_8bits_tri_o[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led_8bits_tri_o[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led_8bits_tri_o[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led_8bits_tri_o[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led_8bits_tri_o[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led_8bits_tri_o[7]}]
set_property PACKAGE_PIN AN14 [get_ports {dip_switch_8bits_tri_i[0]}]
set_property PACKAGE_PIN AP14 [get_ports {dip_switch_8bits_tri_i[1]}]
set_property PACKAGE_PIN AM14 [get_ports {dip_switch_8bits_tri_i[2]}]
set_property PACKAGE_PIN AN13 [get_ports {dip_switch_8bits_tri_i[3]}]
set_property PACKAGE_PIN AN12 [get_ports {dip_switch_8bits_tri_i[4]}]
set_property PACKAGE_PIN AP12 [get_ports {dip_switch_8bits_tri_i[5]}]
set_property PACKAGE_PIN AL13 [get_ports {dip_switch_8bits_tri_i[6]}]
set_property PACKAGE_PIN AK13 [get_ports {dip_switch_8bits_tri_i[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dip_switch_8bits_tri_i[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dip_switch_8bits_tri_i[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dip_switch_8bits_tri_i[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dip_switch_8bits_tri_i[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dip_switch_8bits_tri_i[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dip_switch_8bits_tri_i[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dip_switch_8bits_tri_i[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {dip_switch_8bits_tri_i[7]}]

Create HDL Wrapper,注意zcu102_wrapper.v的内容是否正常,我是删除了原有的,然后重新生成的。编译并生成bitstream
完成后使用Export Block Design将bd的tcl生成文件输出。
拷贝并重命名刚生成的bit和tcl文件,并重命名为:
zcu102_led.bit和zcu102_led.tcl

使用PYTHON测试LED和DIP
使用tftp将刚才的两个文件复制到板上的目录中:/home/xilinx/zcu102_test/
$ cd ~/zcu102_test/
$ sudo python3
Python 3.6.5 (default, Apr 1 2018, 05:46:30)
[GCC 7.3.0] on linux
Type "help", "copyright", "credits" or "license" for more information.
>>> from pynq import Overlay
>>> ol = Overlay('zcu102_led.bit')
>>> leds = ol.gpio_leds.channel1
>>> leds[0:8].on()
>>> leds[0:8].off()
>>> sws = ol.gpio_sws.channel1
>>> sws.read()
170
>>> sws.read()
85

如上即可使用python测试led和dip switch

使用jupyter-notebook来进行测试:
import time
from pynq import Overlay

ol = Overlay("./bit/zcu102_led.bit")

leds = ol.gpio_leds.channel1
sws = ol.gpio_sws.channel1

for i in range(256):
leds.write(mask=255, val=i)
time.sleep(0.05)
print("led test finish!")

led test finish!

print("sws status: 0x%08x" % sws.read())

sws status: 0x00000028

4. 问题
问题1:发现在python中使用自动补全时程序崩溃
在python中使用ol = Overlay(‘zcu102_led.bit’)后,输入ol进行自动补全时会使python程序崩溃。
>>> ol.[ 1491.769317] Bad mode in Error handler detected on CPU0, code 0xbf000002 -- SError
[ 1491.776716] Internal error: Oops - bad mode: 0 [#3] SMP
[ 1491.781922] Modules linked in:
[ 1491.784963] CPU: 0 PID: 4501 Comm: python3 Tainted: G D 4.14.0-xilinx-v2018.2 #1
[ 1491.793466] Hardware name: ZynqMP ZCU102 Rev1.0 (DT)
[ 1491.798416] task: ffffffc877b4c000 task.stack: ffffff80092f8000
[ 1491.804319] PC is at 0x7f9d84b458
[ 1491.807616] LR is at 0x55cc3c
[ 1491.810568] pc : [] lr : [] pstate: 80000000
[ 1491.817946] sp : 0000007fc15c5060
[ 1491.821245] x29: 0000007fc15c5060 x28: 0000007f9945ae00
[ 1491.826540] x27: 0000007f99297e40 x26: 0000000000845578
[ 1491.831836] x25: 0000000000000000 x24: 0000000000860000
[ 1491.837131] x23: 0000007f9c5c0f60 x22: 0000007f9c645230
[ 1491.842426] x21: 000000003b4ccf90 x20: 0000007f9c645282
[ 1491.847721] x19: 0000007f9945ac48 x18: 0000007f9e0d3a70
[ 1491.853017] x17: 00000000005751e0 x16: 0000007f9d8ab650
[ 1491.858312] x15: 00000000000001ff x14: 0000000000000008
[ 1491.863608] x13: 0000007f9a2bc348 x12: 0000000000000000
[ 1491.868903] x11: 0000000000000000 x10: 000000003bb93498
[ 1491.874198] x9 : 000000003bb93490 x8 : 0000000000000001
[ 1491.879493] x7 : 00000000007b5750 x6 : 0000007f9db43008
[ 1491.884789] x5 : 0000007fc15c4a48 x4 : 0000007f9d8b5d58
[ 1491.890084] x3 : 0000007f994cb738 x2 : 5d43e4b8b60b9d00
[ 1491.895380] x1 : 0000007f9d84b458 x0 : 0000007f994cb738
[ 1491.900676] Process python3 (pid: 4501, stack limit = 0xffffff80092f8000)
[ 1491.907448] ---[ end trace fef7a706ca15de64 ]---
Segmentation fault

检查发现问题出在了Vivado中Zynq UltraScale+ MPSoC模块的配置有问题,但一直未定位到。。

模块配置文件:zcu102_ps_conf.tcl

可使用该配置在模块中进行Apply Configuration,就能解决崩溃问题

o4YBAF9uHNaAV4fCAAJF-M7zZRo890.png

>>> ol.
ol.BS_FPGA_MAN ol.gpio_dict ol.load_ip_data(
ol.BS_FPGA_MAN_FLAGS ol.gpio_leds ol.parse_bit_header(
ol.axi_intc_0 ol.gpio_sws ol.parser
ol.bin_path ol.hierarchy_dict ol.partial
ol.bitfile_name ol.interrupt_controllers ol.reset(
ol.clock_dict ol.interrupt_pins ol.timestamp
ol.convert_bit_to_bin( ol.ip_dict
ol.download( ol.is_loaded(

问题2:发现网络不能正常连接
启动以后进入系统,发现没有eth0网口,感觉是下载的rootfs img没有加载执行STAGE4_PACKAGES_$(board)定义的ethernet包
查看/sdbuild/packages/ethernet内的文件内容,发现需要将eth0文件放在/etc/network/interfaces.d下
有三种方式:
1. 在格式化sd卡前,直接在linux内编辑镜像,并复制eth0到指定位置
2. 在串口控制台使用vim编辑文本,并复制到指定位置
3. 使用sudo ifconfig eth0 up && sudo ifconfig eth0 192.168.2.99 先使能网络然后用tftp将eth0文件复制到指定位置

eth0文件内容如下:
auto eth0
iface eth0 inet dhcp auto eth0:1
iface eth0:1 inet static
address 192.168.2.99
netmask 255.255.255.0

编辑完成后,重启板子,即可看到网卡信息
xilinx@pynq:~$ ifconfig
eth0: flags=4163 mtu 1500
inet 192.168.2.110 netmask 255.255.255.0 broadcast 192.168.2.255
inet6 fe80::f4e8:61ff:fe39:2f29 prefixlen 64 scopeid 0x20
ether f6:e8:61:39:2f:29 txqueuelen 1000 (Ethernet)
RX packets 1207 bytes 1082085 (1.0 MB)
RX errors 0 dropped 0 overruns 0 frame 0
TX packets 1180 bytes 106838 (106.8 KB)
TX errors 0 dropped 0 overruns 0 carrier 0 collisions 0
device interrupt 31
eth0:1: flags=4163 mtu 1500
inet 192.168.2.99 netmask 255.255.255.0 broadcast 192.168.2.255
ether f6:e8:61:39:2f:29 txqueuelen 1000 (Ethernet)
device interrupt 31
lo: flags=73 mtu 65536
inet 127.0.0.1 netmask 255.0.0.0
inet6 ::1 prefixlen 128 scopeid 0x10
loop txqueuelen 1000 (Local Loopback)
RX packets 191 bytes 22047 (22.0 KB)
RX errors 0 dropped 0 overruns 0 frame 0
TX packets 191 bytes 22047 (22.0 KB)
TX errors 0 dropped 0 overruns 0 carrier 0 collisions 0

eth0为dhcp得到的ip地址。
eth0:1为静态ip地址

编辑:hfy

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21329

    浏览量

    593288
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65107
  • zcu102
    +关注

    关注

    0

    文章

    24

    浏览量

    6997
收藏 人收藏

    评论

    相关推荐

    vivado2017中找不到ZCU102对应的板卡,求解决和分享,应该如何解决

    vivado2017中找不到ZCU102对应的板卡,求解决和分享,应该如何解决
    发表于 02-29 17:31

    zcu102zcu104有什么区别

    ZCU102ZCU104是赛灵思(Xilinx)推出的两款高性能嵌入式开发平台,用于开发和验证高性能应用程序。虽然它们都具备卓越的性能和功能,但在一些方面存在一些差异。下面将详细介绍ZCU1
    的头像 发表于 01-04 10:54 1307次阅读

    如何在zcu102板卡上创建pipeline呢?

    DisplayPort 1.4 Tx Subsystem core的最简pipeline就是如它的linux driver wiki page里的figure-4那样,framebuffer_read+DP+video_phy。
    的头像 发表于 12-29 10:09 220次阅读

    ADRV9009+ZCU102系统启动出现错误导致IIO没有波形显示怎么解决?

    在使用你们的ADRV9009+ZCU102的开发套件,基于你们制作的Boot.bin(SD卡里面自带的),整个Linux系统可以跑起来,并且IIO软件可以正常使用;然而我自己制作的Boot.bin
    发表于 12-07 07:09

    搭建ssm框架的详细流程

    有效地集成和利用这些开源框架,提高开发效率,并且具有良好的可扩展性和可维护性。 本文将详细介绍搭建SSM框架的流程,包括环境搭建、创建项目、配置框架和测试等步骤。 一、环境搭建 首先,我们需要确保电脑已经安装了JDK、Tomcat、MySQL等必要的软件。我们可以在官方网
    的头像 发表于 12-03 14:52 1213次阅读

    AXI通道读写DDR的阻塞问题?

    基于vivado2020.1和zcu102开发板(rev1.1)开发项目,工程涉及DDR4(MIG)和PL端多个读写接口交互的问题,通过AXI interconnect进行互联和仲裁(采用默认配置)。
    的头像 发表于 12-01 09:04 454次阅读
    AXI通道读写DDR的阻塞问题?

    【KV260视觉入门套件试用体验】Zynq超强辅助-PYNQ配置,并使用XVC(Xilinx Virtual Cable)调试FPGA逻辑

    实现的。 PYNQ 可以帮助我快速地验证和测试我的 PL 设计,也可以让我在 PS 侧使用 Python 的丰富库和工具,来处理和分析我的数据。 PYNQ 是一个适合各种应用场景的框架,无论是图像处理
    发表于 09-16 14:15

    ZCU102开发板用户指南

    ZCU102是一款通用评估板,用于基于以下特性的快速原型设计Zynq® UltraScale+ ™ XCZU9EG-2FFVB1156E MPSoC(多处理器片上系统)。高速度 DDR4
    发表于 08-08 15:59 4次下载

    ZCU208评估板用户指南

    zcu208  XILINX品牌
    发表于 08-08 15:58 0次下载

    基于Zynq UltraScale+MPSoC高性能EtherCAT主站方案

    本文将介绍KPA EtherCAT 主站在ZCU102平台的移植与测试
    的头像 发表于 07-07 14:15 1113次阅读
    基于Zynq UltraScale+MPSoC高性能EtherCAT主站方案

    BOSHIDA DC电源模块检测稳定性能详细流程

    BOSHIDA DC电源模块检测稳定性能详细流程 DC电源模块是电力电子产品中非常常见和重要的设备。它们被广泛应用于各种公共场所和工业领域,如通信系统、计算机、工业自动化以及医疗设备等。为确保电源
    的头像 发表于 06-30 11:08 341次阅读
    BOSHIDA DC电源模块检测稳定性能<b class='flag-5'>详细流程</b>

    STM32启动详细流程分析

    问题提出 大家不妨设想一下,cpu 的工作是什么,cpu 是没有主观意识的,它只会按照特定的指令执行相应的操作,用专业术语来说就是: 取指 -> 译码 -> 执行 ,译码和执行肯定是在 cpu 内部进行操作的,并且前提是已经取到了指令。那现在问题来了,指令在哪? cpu上电复位后执行的第一步操作就是取指令 问题1:指令存储在何处 我们在电脑上编写的程序最终是要烧写到芯片内部的 FLASH中(此处特指STM32)。 问题2:如何将可执行文件烧写至 FLASH 上 STM32
    的头像 发表于 06-22 09:10 485次阅读
    STM32启动<b class='flag-5'>详细流程</b><b class='flag-5'>分析</b>

    如何使Linux网络协议栈中RFS功能优化 MPSoC APU 的并行处理能力

      本文介绍如何使能 Linux 网络协议栈中的 RFS(receive flow steering)功能以优化 MPSoC APU 的并行处理能力,解决丢包问题。 问题描述: 在测试 ZCU102
    的头像 发表于 06-17 08:59 583次阅读
    如何使Linux网络协议栈中RFS功能优化 MPSoC APU 的并行处理能力

    使用Tensil和PYNQPYNQ Z1 FPGA板上运行机器学习

    电子发烧友网站提供《使用Tensil和PYNQPYNQ Z1 FPGA板上运行机器学习.zip》资料免费下载
    发表于 06-14 11:44 0次下载
    使用Tensil和<b class='flag-5'>PYNQ</b>在<b class='flag-5'>PYNQ</b> Z1 FPGA板上运行机器学习

    移植STM32F0项目里的驱移植到Nano102后,导致Nano102部分外设无法正常运行怎么解决?

    串口无法在串口助手上输出信息,而且程序卡死在TIMER_Delay(TIMER0,10);这一步,难道是移植部分的程序导致Nano102的UART和TIMER无法正常工作了吗?可是移植部分的程序并没有用到Nano
    发表于 06-14 06:59