电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示
电子发烧友网>电子资料下载>电子资料>TMS320C6678的ZYNQ PS PL异构多核案例开发

TMS320C6678的ZYNQ PS PL异构多核案例开发

2021-09-14 | zip | 12.83 MB | 次下载 | 免费

资料介绍

导读


创龙科技TL6678ZH-EVM是一款基于TI KeyStone架构C6000系列TMS320C6678八核C66x定点/浮点DSP,以及Xilinx Zynq-7000系列XC7Z045/XC7Z100 SoC处理器设计的高端异构多核评估板,由核心板与底板组成。TMS320C6678每核心主频可高达1.25GHz,XC7Z045/XC7Z100集成PS端双核ARM Cortex-A9 + PL端Kintex-7架构28nm可编程逻辑资源。核心板内部DSP与ZYNQ通过SRIO通信总线连接。核心板经过专业的PCB Layout和高低温测试验证,稳定可靠,可满足各种工业应用环境。


评估板接口资源丰富,引出双路CameraLink、双路SFP+光口、四路千兆网口、双路SATA、双路PCIe、四路USB、双路CAN、双路CAMERA、HDMI IN/OUT、LVDS、LCD、RS485RS232、Micro SD、HPC FMC等接口,方便用户快速进行产品方案评估与技术预研。
 

创龙科技TL6678ZH-EVM评估板

 

本文主要介绍ZYNQ PS + PL异构多核案例的使用说明,适用开发环境:Windows 7/10 64bit、Xilinx Vivado 2017.4、Xilinx SDK 2017.4。
案例位于产品资料“4-软件资料\Demo\ZYNQ_Demo\All-Programmable-SoC-demos\”目录下。案例包含PL端Vivado工程,主要使用Xilinx提供的标准IP核配置PL端资源实现接口扩展,同时包含PS端裸机/Linux程序、PL端MicroBlaze应用程序。

 

目  录


前  言———— 7
1 axi_gpio_led_demo案例————  10
1.1 案例功能————  10
1.2 操作说明 ———— 10
1.2.1 基于裸机测试————  10
1.2.2 基于Linux测试 ———— 10
1.3 Vivado工程说明————  11
1.4 IP核配置————  13
2 axi_timer_pwm_demo案例————  14
2.1 案例功能————  14
2.2 操作说明 ———— 15
2.2.1 基于裸机测试 ———— 15
2.2.2 基于Linux测试————  15
2.3 Vivado工程说明————  16
2.4 IP核配置 ———— 16
3 axi_uart_demo案例————  18
3.1 案例功能 ———— 18
3.2 操作说明 ———— 19
3.2.1 基于裸机测试 ———— 19
3.2.2 基于Linux测试 ———— 22
3.3 Vivado工程说明————  26
3.4 IP核配置 ———— 27
4 axi_xadc_demo案例 ———— 28
4.1 案例功能————  28
4.2 操作说明 ———— 28
4.2.1 基于裸机测试 ———— 28
4.2.2 基于Linux测试 ———— 29
4.3 Vivado工程说明 ———— 31
4.4 IP核配置————  33
5 emio_gpio_led_demo案例 ———— 34
5.1 案例功能————  34
5.2 操作说明 ———— 35
5.2.1 基于裸机测试————  35
5.2.2 基于Linux测试 ———— 36
5.3 Vivado工程说明 ———— 37
6 emio_uart_demo案例 ———— 39
6.1 案例功能————  39
6.2 操作说明————  40
6.2.1 基于裸机测试 ———— 40
6.2.2 基于Linux测试————  42
6.3 Vivado工程说明 ———— 44
7 axi_video_display_demo案例————  45
7.1 案例功能————  45
7.2 操作说明————  45
7.2.1 LCD显示屏测试 ———— 46
7.2.2 LVDS显示屏测试 ———— 50
7.3 Vivado工程说明————  52
7.4 模块/IP核配置 ———— 54
7.4.1 Video Test Pattern Generator IP核 ———— 54
7.4.2 VTC IP核 ———— 55
7.4.3 AXI-Stream to Video Out IP核————  56
7.4.4 AXI VDMA IP核————  57
7.4.5 Clocking Wizard IP核 ———— 58
7.4.6 AXI4 Subset Converter IP核————  60
7.4.7 lvds_n_x_1to7_sdr_tx模块 ———— 61
7.4.8 dual_pixel_24bpp_lvds_mapping模块————  64
7.5 设备树配置说明————  65
7.6 申请IP核License ———— 68
8 emio_can_demo案例 ———— 70
8.1 案例功能 ———— 70
8.2 操作说明————  71
8.3 Vivado工程说明 ———— 75
9 mig_dma案例————  76
9.1 案例功能————  76
9.2 操作说明————  76
9.3 关键代码(PS端)————  77
9.4 Vivado工程说明 ———— 86
9.5 IP核配置 ———— 87
9.5.1 AXI DMA IP核 ———— 87
9.5.2 MIG 7 Series IP核 ———— 88
9.5.3 AXI BRAM Controller IP核————  94
9.5.4 Block Memory Generator IP核 ———— 97
9.5.5 AXI Timer IP核————  97
9.5.6 AXI GPIO IP核 ———— 98
10 aurora_dma案例 ———— 100
10.1 案例功能 ———— 100
10.2 操作说明 ———— 100
10.2.1 基于PS端裸机测试 ———— 101
10.2.2 基于PL端MicroBlaze测试 ———— 101
10.3 关键代码(PS端裸机/PL端MicroBlaze) ———— 103
10.4 Vivado工程说明 ———— 106
10.5 模块/IP核配置 ———— 107
10.5.1 AXI DMA IP核 ———— 107
10.5.2 AXI BRAM Controller IP核————  109
10.5.3 Block Memory Generator IP核 ———— 112
10.5.4 Aurora 64B66B IP核————  113
10.5.5 AXI Timer IP核————  115
10.5.6 aurora_reset模块————  116
11 axi_ethernet_demo案例————  118
11.1 案例功能————  118
11.2 操作说明————  118
11.3 Vivado工程说明————  122
11.4 IP核配置————  123
11.4.1 AXI DMA IP核————  123
11.4.2 AXI Ethernet IP核————  124
12 axi_mig_pcie_demo案例————  125
12.1 案例功能————  125
12.2 操作说明 ———— 125
12.3 Vivado工程说明————  127
12.4 IP核配置————  127
12.4.1 MIG 7 Series IP核 ———— 127
12.4.2 AXI Memory Mapped To PCI Express IP核————  127
13 emio_emac_demo案例————  132
13.1 案例功能————  132
13.2 操作说明 ———— 132
13.2.1 基于FreeRTOS测试————  132
13.2.2 基于Linux测试 ———— 140
13.3 关键代码(PS端-FreeRTOS)————  144
13.4 Vivado工程说明 ———— 151
13.5 IP核配置 ———— 151
13.6 设备树配置说明————  153
14 axi_10g_ethernet_dma案例 ———— 154
14.1 案例功能 ———— 154
14.2 操作说明 ———— 155
14.2.1 基于PS端裸机测试————  156
14.2.2 基于PL端MicroBlaze测试 156
14.3 关键代码(PS端裸机/PL端MicroBlaze) ———— 158
14.4 Vivado工程说明————  163
14.5 IP核配置 ———— 164
14.5.1 10G Ethernet Subsystem IP核————  164
14.5.2 AXI DMA IP核———— 172
14.5.3 AXI BRAM Controller IP核 ———— 173
14.5.4 Block Memory Generator IP核————  176
15 udp_10g_dma案例————  177
15.1 案例功能 ———— 177
15.2 操作说明 ———— 178
15.2.1 基于PS端裸机测试 ———— 178
15.2.2 基于PL端MicroBlaze测试 ———— 179
15.3 关键代码(PS端裸机/PL端MicroBlaze)————  180
15.4 Vivado工程说明 ———— 185
15.5 模块/IP核配置 ———— 186
15.5.1 AXI DMA IP 核 ———— 186
15.5.2 10G Ethernet PCS/PMA IP核————  189
15.5.3 udp_ip_10g模块————  191
更多帮助————  195

 

 

 

 

下载该资料的人也在下载 下载该资料的人还在阅读
更多 >

评论

查看更多

  • --
    文章
  • --
    阅读
  • --
    粉丝

下载排行

本周

  1. 1储能电源市场分析
  2. 7.99 MB  |  10次下载  |  免费
  3. 2储能电源市场分析报告
  4. 2.61 MB   |  7次下载  |  免费
  5. 3labview文档教程资料(一)
  6. 24.29 MB   |  2次下载  |  免费
  7. 4用于便携可穿戴设备的RX8130CE
  8. 277.67 KB  |  1次下载  |  免费
  9. 5舵机控制程序案例
  10. 12.46 MB   |  1次下载  |  1 积分
  11. 64kW 650V工业电机控制开发套件SECO-MDK-4KW-65SPM31-GEVK数据手册
  12. 43.14 MB  |  1次下载  |  免费
  13. 7英集芯IP5353 QFN32 规格书pdf
  14. 2.70 MB  |  1次下载  |  免费
  15. 842V、5A SIMPLE SWITCHER® 特性降压稳压器LM22677/-Q1数据表
  16. 1.54MB   |  1次下载  |  免费

本月

  1. 1STM32国内外发展现状
  2. 1.15 MB   |  16次下载  |  免费
  3. 2传感芯片选型指南
  4. 3.60 MB   |  12次下载  |  免费
  5. 3储能电源市场分析
  6. 7.99 MB  |  10次下载  |  免费
  7. 4ATmega8芯片中文手册
  8. 2.45 MB   |  8次下载  |  1 积分
  9. 5TDK电容器产品指南
  10. 11.88 MB   |  7次下载  |  1 积分
  11. 62A多电池高效开关充电器AN_SY6912A中文资料规格书
  12. 1.43 MB   |  7次下载  |  免费
  13. 7储能电源市场分析报告
  14. 2.61 MB   |  7次下载  |  免费
  15. 816A 输出电流,高可靠、高效率 同步降压转换器PCD3201产品手册
  16. 0.47 MB   |  6次下载  |  免费

总榜

  1. 1matlab软件下载入口
  2. 未知  |  935091次下载  |  免费
  3. 2开源硬件-PMP21529.1-4 开关降压/升压双向直流/直流转换器 PCB layout 设计
  4. 1.48MB  |  420050次下载  |  免费
  5. 3Altium DXP2002下载入口
  6. 未知  |  233068次下载  |  免费
  7. 4电路仿真软件multisim 10.0免费下载
  8. 340992  |  191317次下载  |  免费
  9. 5十天学会AVR单片机与C语言视频教程 下载
  10. 158M  |  183316次下载  |  免费
  11. 6labview8.5下载
  12. 未知  |  81567次下载  |  免费
  13. 7Keil工具MDK-Arm免费下载
  14. 0.02 MB  |  73788次下载  |  免费
  15. 8NI LabVIEW中实现3D视觉的工具和技术
  16. 未知  |  70088次下载  |  免费