电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>7 FPGA VC707评估方案

7 FPGA VC707评估方案

123下一页全文
收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

VC707 FMC路由到FPGA发生时间错误

嗨,我正在尝试将高速ADC参考设计移植到VC707板上。 ADC位于FMC模块上,因此通过FMC引脚HA_P / N,HB_P / N和LA_P / N连接到FPGA。我遇到了时间错误,调查发现这个
2019-09-09 10:39:14

VC707 HB总线信号无法接收

大家好,我正在使用VC707并使用FMC1连接器,我在FMC1连接器上采用了除HB总线信号之外的所有lvds差分信号对。我知道FMC2不支持HB总线,但我也不能在FMC1上接收HB总线信号。我试图在
2019-09-12 09:49:55

VC707 SCH与发布的布局不完全同步的原因?

关于2的上电顺序的建议。电源轨。此外,VC707没有任何电源关闭顺序,其电源开关关闭主电源,这意味着所有电源轨将同时开始放电。这不符合Virtex-7断电排序。1-我的原型电路板配有独立的电源和自己
2020-07-31 10:57:46

VC707 uartlite BOARD_PIN收到以下错误该怎么办?

我在Vivado有一个VC707项目,它使用uartlite IP内核进行串行输出,除了我没有从串口中获得任何东西。我认为原因是未能设置ip核心的引脚,因为我收到以下错误:[Netlist
2019-09-26 07:35:15

VC707评估套件上的时钟生成怎么实现?

嗨,大家好,我试图从我的VC707上的差分系统时钟生成一个单端时钟信号。我使用Vivado,但我用VHDL编程。我发现我应该使用IBUFGDS来生成时钟,但我没有得到任何信号。也许有人可以帮助
2020-08-10 08:31:41

VC707主板上使用Vivado 2015.4中的ILA内核时,有时它被硬件管理员识别,有时不被识别,请问如何解决?

所有: 我在VC707主板上使用Vivado 2015.4中的ILA内核时遇到问题。有时它被硬件管理员识别,有时不被识别。当硬件管理器识别出来时,我有时会收到数据已损坏的错误消息。 是否可以告诉
2018-10-31 16:15:41

VC707在chipcope中输出始终为零

我想使用USER_SMA_CLK_P / N来初始化我的设计。我有vc707板。我找到set_property PACKAGE_PIN AJ32 [get_ports
2019-09-23 10:23:00

VC707复位信号在哪里?

我很抱歉,如果这是一个愚蠢的问题,因为似乎答案应该在我面前,但我无法弄清楚。Xilinx VC707评估板中的复位信号在哪里?它没有在主约束文件中列出,我似乎无法在示例VC707项目中找到它。人们如何将电路重置为此电路板上的已知状态?提前致谢
2020-04-01 08:54:50

VC707无法正常工作的原因是什么?

嗨,先生/女士:我有一个VC707。最近,当我尝试探测几个HPC引脚进行调试时,我可能会意外地导致短路。然而,在那之后,我的PC无法通过JTAG在Vivado硬件管理器中找到Virtex-7。存在
2020-08-10 08:00:05

VC707无法重新编程TI电源控制器的原因是什么?

亲爱的先生,我想重新编程VC707板的TI电源控制器,如AR#56811所述我使用USB接口适配器EVM并使用TI工具。首先打开VC707的电源连接电缆“USB接口适配器”加载脚本文件“VC707_RevA_TI_Addr52_53_54_r3.xml”它无法成功加载脚本文件!为什么?
2020-05-07 08:04:45

VC707板上GTX物理分配有冲突

我尝试在VC707中做一个关于Aurora接口的原型设计。我配置Aurora IP工作1通道单工模式,我计划将TX放在bank 119中,而将RX放在bank 118中。我按照Xilinx提供
2019-03-01 09:18:11

VC707板中的引脚分配错误该怎么办?

你好, 这只是要指出VC707用户手册中可能存在引脚分配错误。在手动引脚中,AU33和AU36分别作为UART Tx和Rx给出。但实际上恰恰相反。这不会导致基于XPS的设计出现任何问题,因为该工具
2019-09-12 10:44:03

VC707板的BUFR怎么在设计中使用?

你好我想在我的设计中使用我的VC707板的BUFR。为此,我使用了模板
2020-07-30 10:29:38

vc707 ddr3接口在某些情况下读取数据与写入数据不匹配

你好,我使用的是Vc707板,14.5 ISE DS。在XPS项目的开发过程中,我遇到了ddr3内存接口的问题。在我的XPS设计中(基于Xilinx网站上提供的参考vc707 BIST项目)软件
2019-09-09 10:20:26

EK-V7-VC707-CES-G

VIRTEX-7 VC707 EVAL KIT
2023-03-30 11:49:36

EK-V7-VC707-G

KIT EVAL VIRTEX7 VC707
2023-03-30 11:49:23

FMC连接器和vc707上的Virtex 7设备之间的跟踪长度是多少?

问候,我想知道是否有任何报告可以指示FMC连接器和vc707上的Virtex 7设备之间的跟踪长度。谢谢。
2019-09-27 09:25:46

PCI Express与VC707板如何实现通信?

我目前正在开展一个项目,我需要通过PCI Express与VC707板进行通信。这个想法是读/写FPGA的内存以及通过C程序与自定义IP块通信。到目前为止,我已经能够使用Jungo
2020-05-18 09:46:54

Xilinx Virtex-7 FPGA VC707评估套件两个插座兼容吗?

你好,我是与FPGA有关的初学者,但我对以下内容感兴趣:Xilinx Virtex-7 FPGA VC707评估套件我注意到PCIe插座是x8,但我的PC主板只有x16?两个插座兼容吗?问候,鲁道夫
2020-03-17 10:00:32

Xilinx Virtex-7 FPGA VC707评估套件必须通过LCD接口将该板连接到PC吗?

你好,我想获得Eval板“Xilinx Virtex-7 FPGA VC707评估套件”,但我有一些问题: - 我们是否必须通过LCD附近的接口将该板连接到PC,以便它能否工作?如果我们不这样做
2019-09-05 13:09:14

为什么VC707board FMC1引脚用示波器测量不到信号输出?

在使用VC707评估板的过程中,我使用VHDL语言输出通过简单的控制信号FMC1命名后缀为HB引脚输出,在HB引脚上发现低电平恒定输出,引脚用示波器测量,检测不到信号输出,想要问下一个是什么原因?例如,使用MMCM 2 MHZ时钟信号,输出信号由HB a引脚,配置为LVCMOS18 HB。
2019-09-20 07:56:31

为什么VC707中的振荡器(系统clk)由2.5V供电?

为什么VC707中的振荡器(系统clk)由2.5V供电? FPGA不包含任何HR IO。
2019-10-23 09:36:22

关于Vc707中微细锌的EMC问题

大家好! 我创建了一个简单的块设计,以便在Vc707板上移动线性闪存,如下所示:只要我附上EMC,即使是最简单的项目“Hello World”也无法在SDK中运行。如果删除它,一切都很好。当我在
2020-04-13 08:12:39

哪种BPI并行NOR闪存器件可以替换用于VC707板?

我有一个旧的VC707(virtex7设备)板。它上面的BPI并行NOR闪存(美光:PC28F00AG18FE / MT28GU01GAAA1EGC)被怀疑已损坏。我想找一个替换它。但在我查找了在线
2019-10-16 10:05:40

VC707上运行的设计配置丢失

我有一个在VC707上运行的设计会突然失去配置。 LED熄灭表示其中一个电压出现问题。就像我画了太多电流。但很难相信,因为FPGA运行非常酷(50 - 55 C),我的输出很少(一个基于SERDES
2019-09-11 13:10:08

如何为VC-707评估板寻找直流耦合DAC板?

嗨,我很难为VC-707评估板寻找直流耦合DAC板。我想拥有:> = 10 MSPS,至少2个通道,直流耦合,优选FMC,但是,我能找到的都是交流耦合(与VC-707兼容)。我将不胜感激任何建议 - 包括DAC板与其他可通过适配器使用的接口。通
2019-09-04 11:13:48

如何为VC707板组成一个以太网系统?

过程失败了。我查看了日志文件以找到原因。然后,我发现UCF文件错了。 XPS工具没有生成axi_etherent IP的正确UCF文件。此外,VC707手册中包含的UCF文件内容
2019-09-20 09:32:52

如何使用VC707评估板以最低100 MSPS输出并行位?

(DUT)”。数据速率必须至少为100 MSPS。我希望能够控制数据速率(通过编程FPGA?)我想知道使用VC707或其他低端FPGA评估板是否可以实现这一点。我浏览了VC707的用户指南和原理图
2019-09-29 09:39:04

如何使用VC707进行视频处理

嗨,以下是一些我们不清楚的问题1. VC707套件提供哪些视频IP核。2.我们可以将DVI视频输入直接映射到ADV7511的36位数据线以获得HDMI输出。3.如何以生动的格式实现来自不同视频流
2019-03-26 15:43:37

如何使用vc707测试PCIE带宽?

嗨,我需要测试PCIE带宽。我可以使用vc707-pcie-rdf0161-14.4.zip进行测试吗?谢谢
2019-09-12 09:23:39

如何使用Vivado在VC707上进行BPI编程闪存?

我正在尝试使用Vivado 2013.2在VC707板上编程闪存。所以我应该打开硬件会话,在硬件窗口中右键单击代表我的FPGA器件的图标,然后从弹出菜单中选择“添加配置存储器设备”。问题是,这个项目
2019-09-23 12:27:56

如何在VC707评估板上调试ARM内核?

你好,我是新手,我有一个问题。我想将我的ARM内核放在VC707评估板的FPGA上我想用lauterbach TRACE32调试我的ARM内核。我该怎么做?谢谢。
2019-10-14 09:12:42

如何在VC707上刷BPI?

/vc707_Schematic_xtp135_rev1_0.pdf它的SPI接口连接到FPGA的配置接口。我使用它的灵魂?2)如何使用这个界面?我刚刚通过blockdesign添加了Axi-Quad-SPI,而wizzard建议连接
2019-10-11 09:57:48

如何在VC707中切换干净的外部时钟?

亲爱的论坛成员,我正在为VC707 FMC接口构建一个附加板,为信号处理生成非常干净的时钟。我试图实现的是以下启动顺序:1.VC707用比特流编程2. VC707以内部时钟启动编程(实际频率不重要
2019-09-24 13:34:20

如何实现在Xilinx网站上为VC707板提供的MIG示例设计

嗨,我正在尝试实现在Xilinx网站上为VC707板提供的MIG示例设计。我有两种错误 - ConstraintSystem:59 - 约束[ipcore_dir
2020-07-15 10:50:11

如何将VC707与DC1974和DC2159A连接?

嗨,我想将VC707与DC1974和DC2159A连接。如果您有一些我可以开始的示例设计,我将非常感激。提前致谢。
2019-09-23 06:46:13

如何将vc707时钟路由到电路板输出?

我有Virtex7 vc707评估板,我正试图查看示波器上的收发器信号。但是,我似乎无法将用户时钟路由到输出SMA,因此我可以将其用作触发器。 vc707板用户指南似乎没有所有SMA端口的引脚编号。是否有另一份有此信息的文件?有一种简单的方法可以将时钟信号路由到电路板输出吗?先谢谢你,亚瑟
2019-09-16 13:45:36

如何将QSH-30与VC707连接?

你好,我有两个定制设备,通过samtec QSH-30端口相互通信。其中一款器件采用较旧的Xilinx FPGA,我希望能够取而代之。我希望有可能购买VC707(或类似产品)并设计一个定制的夹层卡
2019-09-11 10:22:24

如何改变VC707可配置用户时钟的速度?

是否有一种简单的方法(在代码中)来改变VC707上的用户时钟速度?这样做有什么好处而不是在HDL中使用时钟分频器(计数器)?
2019-11-05 09:31:24

如何正确映射VC707 FMC引脚?

大家好,我在xdcfile中编写VC707评估板的引脚FMC 2 HPC。根据电路板用户手册(v 1.7.1),FMC2的F32和E30引脚都连接到U1 FPGA的AT20引脚。我觉得有一个错字。我试图找到一个新版本的文件但是找不到它。你能帮我正确映射那些引脚吗?感谢致敬,阿济斯
2019-10-11 08:47:05

如何设计一个新的Virtex-7板?

对于VC707评估套件或VC709连接套件的Virtex-7“参考设计”中包含的内容,我感到很遗憾。具体来说,我想设计一个新的Virtex-7板。我习惯于其他大芯片的“参考设计”,其中参考设计包括我
2020-08-13 09:08:44

怎么在ML605或VC707上构建原型NAND闪存设备

嗨,大家好,我正在努力在ML605或VC707上构建原型NAND闪存设备。为此,我需要设计一个NAND Flash子板并将其连接到高引脚数FMC。在设计这样的电路板之前,我想知道最好的方法是什么?我
2019-04-15 15:58:54

怎么将Zynq ZC706评估板和Virtex VC707评估板连接在一起?

亲爱的大家,我正在尝试将Zynq ZC706评估板和Virtex VC707评估板连接在一起?我已经在Zynq上有一个FMCOMMS5占用了两个FMC插槽,我试图弄清楚是否有办法将Zynq板连接到VC707?另一个问题是,如果我能够连接到Zynq板,如何在VC707上配置Virtex 7?提前致谢!
2019-09-29 14:19:22

无法在设备管理器中找出VC707 Virtex-7 FPGA

现在,我通过UARTPort将FPGA板连接到64位Win7笔记本电脑。基于pdf“ug848-VC707-getting-started-guide”第6-8页的说明。我已经从silcon实验室
2019-09-16 13:58:52

获得随vc707评估板套件提供的lte60e电源砖

我试图找到一种方法来获得随vc707评估板套件提供的lte60e电源砖(带6针迷你接头连接器)。我不知道部件号,或者知道lte60e是否需要6针迷你型适配器,但我正在尝试为基于vc707的电路板供电
2019-03-12 12:55:27

请问VC707 stm1 clk通过Si570到Si5324 PPM规范是什么?

数据表对我来说非常混乱,因为它似乎表示ppm随着芯片年龄的增加而增加了吗?对于第一年的新董事会,我的PPM预计会是什么?我正在尝试获得一个符合stm1标准的stm1 clk,如果我没有错,我相信4.5 ppm ......想知道这个clk的VC707板是否符合这个规格?谢谢!
2019-09-23 06:44:53

请问VC707上的U43上是否有预先加载的图像?

我们的一块VC707板有一个损坏的电源监控芯片U43,我们正在研究更换芯片的可能性。我注意到芯片有内部闪存。 VC707上的U43上是否有预先加载的图像?如果是,图像是否可供下载?谢谢,胜利者
2019-10-21 09:54:16

请问VC707与系统生成器硬件协同仿真可以使用以太网吗?

我有兴趣使用VC707板和System Generator的硬件协同仿真功能。然而,对于ML605,我可以选择通过JTAG或以太网进行通信,对于VC707,我似乎只能使用JTAG选项。我假设,也许
2019-09-27 10:44:03

请问VC707板上有设备驱动程序吗?

大家好。我想在VC707 vertix 7板上移植Linux。我的计划是这样的。首先,我将在VC707 FPGA上编程v-scale。其次,我将下载SD卡上的任何linux映像。第三,我将使设备
2019-09-30 06:56:37

请问VC707的不同部件号之间的主要区别是什么?

嗨,大家好!我只是想知道VC707的不同部件号之间的主要区别是什么,例如EK-V7-VC707-G和EK-V7-VC707-Gj。谢谢,Meysam
2019-09-17 10:36:29

请问vc707上fmc连接器的I2C地址是什么?

我正在开发一个连接VC 707板的FMC连接器的vhdl程序控制设备。应对总线开关I2C地址(0x74)进行寻址和配置,以选择所需的下游器件。将数据发送到FMC1连接器的I2C地址是什么? vc707用户指南中的表格给出了0bXXXXX00(?)。最诚挚的问候,奥利维尔
2019-09-18 06:34:26

请问AD-FMCADC2-EBZ FMC Board需要连接到VC707的哪个FMC接口?

请问AD-FMCADC2-EBZ FMC Board 需要连接到VC707的哪个FMC接口?还是两个FMC接口都可以
2019-01-22 14:53:24

请问AD-FMCADC2-EBZ FMC Board需要连接到VC707的哪个FMC接口?

请问AD-FMCADC2-EBZ FMC Board 需要连接到VC707的哪个FMC接口?还是两个FMC接口都可以
2023-12-11 06:46:56

请问AD-FMCOMMS3-EBZ链接xilinx的VC707如何输出AD后I,Q路?

想用AD-FMCOMMS3-EBZ连接xilinx的VC707开发板,希望输出射频下变频,AD之后的I,Q路信号,请问应该如何操作呢?
2018-09-05 14:17:27

请问AD-FMCOMMS4-EBZ如何在Xilinx的VC707上使用呢?

买了一块AD9364对应的评估板AD-FMCOMMS4-EBZ,手头目前有的FPGA板子是VC707,但是不知道怎么用VC707进行设计,好像VC707只支持HDL设计,不支持linux software和No-OS software,有没有针对VC707怎样开始的教程啊
2018-10-23 09:42:34

请问在VC707板上使用Vivado可以免费升级14.1版吗?

你好,我目前在Linux Rel 5上运行ISE 14.1 for VC707板。几个问题:1)Vivado现在可以在ISE 14.2或14.3中用于VC707板(Virtex 7,vx485t
2019-09-03 09:58:46

请问如何在VC707上构建和测试AXI以太网子系统?

我正在尝试在VC707上构建和测试AXI以太网子系统。但是write_bitstream错误输出时出现以下错误:[Common 17-69]命令失败:此设计包含一个或多个不允许生成比特流的单元
2020-08-21 11:07:27

运行PCIe设计时,可以使用普通电源作为VC707的外部电源吗?

你好:我在VC707上运行PCIe设计,而我的PC没有ATX电源适配器。因此,当我运行PCIe设计时,我可以使用普通电源(VC707的常规电源)作为VC707的外部电源吗?
2020-08-18 09:25:57

ADV7511 Xilinx KC705、VC707、ZC702和ZED参考设计

ADV7511 Xilinx KC705、VC707、ZC702和ZED参考设计
2021-05-17 08:14:0012

已全部加载完成