电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>采用CPLD器件实现PXI触发总线接口的设计方案

采用CPLD器件实现PXI触发总线接口的设计方案

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

基于CPLD技术的MOSFET器件保护电路的设计方案

介绍了一种基于CPLD技术的MOSFET器件保护电路的设计与实现。该电路设计方案具有抗干扰能力强、响应速度快和通用性好的优点。通过试验验证了该方案的正确性和可行性。##在功率MOSFET保护电路输入
2014-04-25 11:15:471939

一文看懂PCI总线PXI总线有什么区别

本文主要详解PCI总线PXI总线有什么区别,首先介绍了PCI 总线结构图、特点及PCI总线性能,其次阐述了PXI总线的特性,最后介绍了PCI总线PXI总线的区别。
2018-05-24 09:15:2313959

采用CPLD技术实现有多种触发方式的120MHz高速A/D采集卡设计

AD9054 高速A/D采集技术已在许多领域得到愈来愈广泛的应用,本文将详细论述采用CPLD技术来实现120MHz高速A/D采集卡的设计方法,该采集卡具有包括负延迟触发在内的多种触发方式,采用
2019-01-07 08:44:005713

PXI机箱电源/时钟及触发的相关资料推荐

昨天带大家认识了PXI机箱之后,今天会详细讲解下关于PXI机箱的槽位号、背板、总线、电源、时钟、触发总线等相关的知识。欢迎持续关注~了解更多欢迎发邮件到ltt@hkaco.com
2021-12-31 06:04:56

cpld与8051的总线接口vhdl设计源码

cpld与8051的总线接口vhdl设计源码cpld 与8051的总线接口VHDL源码关于cpldbus51.VHD的说明: 很久之前我也想在网上找一份cpld与8051的总线方式接口的VHD源码
2012-08-10 18:56:47

接口芯片PCI 9030开发PXI模块的过程和方法

总线的电气规范大部份跟PCI相同,只是增加了一些仪器特性。基于以上考虑,我们决定通过设计一个PCI接口卡来系统地了解利用接口芯片PCI 9030开发PXI模块的过程和方法。2、硬件设计目前实现 PCI
2019-05-05 09:29:33

采用CPLD实现32 bit 33 MHzPCI从设备接口

的配置空间,可实现即插即用。这些优点使得PCI总线在数据采集、嵌入式系统和测控等领域得到广泛应用。实现PCI总线协议目前主要有专用接口芯片和CPLD实现两种方式。专用接口芯片使用简单方便、工作稳定可靠
2019-06-20 05:00:03

采用CPLD实现ADS8323与高速FIFO接口电路

的QuartusII作为设计工具,以EPM7128系列的CPLD芯片实现了上述的接口电路。其总体设计如图2所示。其中,地址译码模块负责对MCU的地址总线进行译码,产生地址选通信号;控制信号模块负责产生一些总的控制信号
2019-05-23 05:01:08

采用CPLD实现的智能数字电压表设计

求一款以CPLD为控制核心的16位高精度数字电压表设计方案
2021-04-13 06:59:40

采用CPLD的DSP与声卡的接口技术

、计数、总线接口等很多方面,在信号处理领域的应用也非常活跃。MAX7000系列是ALTERA公司采用先进的0.8μm CMOS EEPROM技术制造的高性能、高密度的CPLD[1]。MAX7000的结构可
2019-05-31 05:00:03

采用CPLD的DSP与声卡的接口电路设计

、计数、总线接口等很多方面,在信号处理领域的应用也非常活跃。MAX7000系列是ALTERA公司采用先进的0.8μmCMOSEEPROM技术制造的高性能、高密度的CPLD[1]。MAX7000的结构可完全
2019-06-05 05:00:14

采用PCI总线集成电路实现测试仪接口设计

IP核来实现PCI接口,利用基于PCI协议的IP核来实现PCI接口,这种设计开发速度较快,灵活性较好,但是IP核价格昂贵。采用FPGA实现PCI总线协议。采用CPLD/FPGA等可编程逻辑器件实现PCI
2019-05-30 05:00:02

采用专用接口电路PCI9054实现ARINC429总线接口板设计

核处理器NIOSⅡ作为系统的主控制器,结合ARINC429专用器件和其他外围设备实现数据的收发功能。  ARI NC429接口板由数据收发、存储器扩展、监控、PCI总线接口等模块组成。NIOSⅡ控制
2019-04-26 07:00:08

DSP小系统接口电路可移植性设计方案

INT3~INT6的位置一样。另外,其他一些通用的控制信号二者也尽量做到一致。 在接口电路中,尽量使用CPLD或FPGA器件,可根据不同外部系统的要求对器件进行擦写。4 I/O读写和中断操作可移植性
2018-11-26 11:21:21

IIC总线通讯接口器件CPLD实现

IIC总线通讯接口器件CPLD实现摘要:介绍了采用ALTERA公司的可编程器件EPF10K10LC84-3实现IIC总线的通讯接口的基本原理,并给出了部分的VHDL语言描述。该通讯接口与专用的接口芯片相比,具有使用灵活、系统配置方便的特点。 [/hide]
2009-10-30 14:57:35

KPXI系列PXI总线产品架构分析

KPXI系列PXI总线产品架构分析吉时利的混合测试解决方案是业界最先进的PXI和测试设备混合结构,通过分布式编程和并行执行能够极大地加快测试时间。本文介绍的内容是一个在较大系统中使用KPXI产品的参考方案
2009-12-08 14:48:53

一种实用的VXI总线接口设计

寄存器和器件相关寄存器。图1为接口功能结构图。 2.1 VXI总线接口译码电路 VCPLD是可由用户进行编程实现所需逻辑功能的数字集成电路,它具有高性能、高集成度、高度灵活适用等诸多优点。使用CPLD
2018-11-27 11:48:33

什么是PXI

规范上强制增加了环境性能测试与主动冷却装置,以简化系统集成并确保不同厂商产品之间的互用性。此外,PXI还在高速PCI总线的基础上补充了测量与自动化系统专用的定时与触发特性。 总的来说,PXI是一种坚固
2009-06-22 18:51:23

分享一种智能卡接口设计方案

分享一种智能卡接口设计方案
2021-05-27 06:01:19

基于CPLD器件实现I2C总线通讯接口

的结构体中指明配置的参数即可实现配置,非常方便。本设计只使用一片可编程芯片即可完成IIC总线接口的芯片功能。由于采用VHDL-93语言进行设计,具有良好的可移植性,可用于其它ISP厂家的产品中。通过ByteBlast下载线可以在线改变其功能,体现了CPLD中的ISP器件的优越性。
2019-05-22 05:00:02

基于CPLD的DSP与声卡的接口技术

、计数、总线接口等很多方面,在信号处理领域的应用也非常活跃。MAX7000系列是ALTERA公司采用先进的0.8μm CMOS EEPROM技术制造的高性能、高密度的CPLD[1]。MAX7000的结构
2018-12-14 10:57:58

基于CPLD的DSP人机接口方案

(Digital Signal Processor)与CPLD的连接是通过DSP的外部存储器接口实现的。我们通过/IS管脚将其扩展到外部I/O空间,数据总线的高8位和地址总线的低8位与CPLD相连,并且我们将
2019-05-21 05:00:16

基于CPLD的USB隔离技术,不看肯定后悔

求大佬分享一种基于CPLD的USB总线的隔离接口实现方案
2021-04-13 06:25:30

基于CPLD的单片机与ISA总线接口并行通信

,使用CPLD器件可以极大地缩短产品开发周期,给设计修改带来很大方便[1]。本文以ALTERA公司的MAX7000系列为例,实现MCS51单片机与PC104ISA总线接口的并行通信。采用这种通信方式,数据传输准确
2019-06-20 05:00:02

基于PXI总线的宽带频率计设计

NB6L16差分接收器,其接收的最高信号频率可达 6 GHz。  2.3 PXI总线接口电路设计  专用PCI接口芯片加CPLD/FPGA的接口方案采用专用接口芯片PCI9030虽没有像直接采用
2012-12-17 11:59:09

基于DSP与CPLD的I2C总线接口设计/实现

用电器、通讯设备及各类电子产品中已广泛应用DSP芯片。但大多数的尚未提供I2C总线接口,本文将介绍一种基于CPLD的已实现的高速DSP的I2C总线接口方案。1 I2C通信协议I2C总线是一种用于IC器件之间
2018-12-03 15:17:53

基于PCI总线CPLD实现

独立的配置空间,可实现即插即用。这些优点使得PCI总线在数据采集、嵌入式系统和测控等领域得到广泛应用。实现PCI总线协议目前主要有专用接口芯片和CPLD实现两种方式。专用接口芯片使用简单方便、工作稳定
2019-05-29 05:00:02

如何采用CPLD技术来实现120MHz高速A/D采集卡的设计?

本文将详细论述采用CPLD技术来实现120MHz高速A/D采集卡的设计方法,该采集卡具有包括负延迟触发在内的多种触发方式,采用CPLD复杂可编程逻辑器件(又称FPGA)EPM7128SQC100-7和AD公司的高速模数转换器(A/D)AD9054BST-135来实现
2021-04-30 06:27:01

如何采用PXI总线接口实现高速数字化仪模块的设计?

本文给出了基于PXI总线接口的高速数字化仪模块的设计实现方法,介绍了高速数据采集系统中LVDS接口、LVPECL接口电路结构及连接方式,并在所设计的数字化仪模块中得到应用。
2021-04-14 06:18:38

如何采用Altera的CPLD器件实现时间统一系统的B码源设计?

如何采用Altera的CPLD器件实现时间统一系统的B码源设计?
2021-05-07 06:21:24

如何用CPLD实现基于PC104总线的429接口板的设计?

本设计采用了复杂可编程器件CPLD,用CPLD完成了PC104总线与429总线通讯的主要电路,大大节省了硬件资源,本文着重介绍了CPLD部分的设计。
2021-04-29 06:47:46

怎么实现基于CPLD的异步串行通讯控制器的设计?

本文在对异步串行通信协议进行分析的基础上,根据实际工程的需要,对异步串行通信控制器进行了详细设计,并结合CPLD器件采用VHDL语言,对设计方案进行了实现和验证,通过最后时序仿真的波形图得出
2021-05-28 06:53:11

怎么使用FPGA实现SPI总线的通信接口

受到限制。因此,我们采用ALTERA公司的FPGA器件设计SPI总线的通信接口,该总线接口具有高速、配置灵活等优点,大大地缩短了系统的开发周期。
2019-08-09 08:14:34

求一款在PCI总线上利用FPGA技术设计PCI总线接口设计方案

PCI总线特点及开发现状PCI接口配置空间的实现求一款在PCI总线上利用FPGA技术设计PCI总线接口设计方案
2021-04-15 06:17:20

求一种DSP+CPLD新型的智能仪器的设计方案

求一种DSP+CPLD新型的智能仪器的设计方案
2021-05-08 07:54:25

求一种可利用复杂可编程逻辑器件设计技术实现的专用键盘接口芯片方案

本文提出一种利用复杂可编程逻辑器件(Complex Programmable Logic Device,CPLD)设计技术[3]实现专用键盘接口芯片的方案
2021-04-15 06:55:36

请问怎样去设计ARINC429总线接口模块?

求一种基于USB总线的ARINC429总线接口模块的设计方案
2021-04-30 07:01:51

请问怎样去设计一种CPLD加密电路?

本文介绍了一种基于计算机总线CPLD加密电路的设计方案
2021-04-29 06:16:32

I2C总线通信接口CPLD实现

介绍采用ALTERA 公司的可编程器件实现I2C 总线的通信接口的基本原理; 给出部分VHDL语言描述。该通信接口与专用的接口芯片相比, 具有使用灵活, 系统配置方便的特点。
2009-05-14 13:16:0026

基于PC/104 总线CPLD 的SPI 接口设计

本文根据SPI 同步串行接口的通信协议,介绍了在CPLD 中利用VHDL 语言实现PC/104 总线扩展SPI 接口的设计原理和编程思想。通过该方法的介绍,使得那些没有SPI 接口功能的
2009-05-30 09:28:1841

基于FPGA 的PXI数据采集系统设计

从自主研发的角度,介绍了一种以PXI 总线接口采用现场可编程门阵列(FPGA)为逻辑控制单元的数据采集系统。在介绍系统总体设计方案的基础上,详细讨论了采集部分的功能实
2009-07-08 14:54:2418

CPLD实现DSP与背板VME总线之间的连接

介绍了采用CPLD 实现DSP 芯片TMS320C6713 和背板VME 总线之间高速数据传输的系统设计方法。设计中采用VHDL 语言对CPLD 进行编程。同时由于CPLD 的现场可编程特性,增强了整个系统
2009-08-15 08:39:2351

单片机与FPGA CPLD总线接口逻辑设计

设计一种基于MCS-51 单片机与FPGA/CPLD总线接口逻辑,实现单片机与可编程逻辑器件数据与控制信息的可靠通信,使可编程逻辑器件与单片机相结合,优势互补,组成灵活的、软硬件
2009-09-22 10:16:4083

基于PXI总线的GPS时统卡研究

本文介绍了一种利用PXI 总线将GPS 信号引入到系统中的设计。该电路利用GPS 实现守时定位,并将秒信号经过FPGA 处理,将其作为触发信号同步系统各部分。并在主机中完成驱动开
2009-12-19 14:18:0823

基于CPLD的USB总线的隔离接口实现

本文详细介绍了USB 总线的光隔离接口的隔离原理、硬件组成及控制程序的设计。该实现方案采用先进的高速光隔离技术和CPLD 控制技术,对基于USB 的测试与测量的设备、人体起保
2009-12-23 15:04:3825

基于SOPC的1553B总线接口逻辑设计

针对1553B 总线协议控制器基本依赖于进口专用芯片现状,提出了以Xilinx 公司 Virtex-II Pro FPGA 为核心实现1553B 总线接口逻辑的系统设计方案采用SOPC 技术,将 PowerPC 40
2010-01-25 14:38:5731

CPLD器件应用

CPLD 器件应用随着生产工艺的逐步提高以及 CPLD 开发系统的不断完善,CPLD 器件容量也由几百门飞速发展到百万门以上,使得一个复杂数字系统完全可以在一个芯片中实现。HDL
2010-01-27 11:40:0248

基于I2C总线的大型开关矩阵设计与实现

基于I2C 总线的大型开关矩阵设计与实现作者:王振生 刘耀周 刘焕照摘要:本文介绍了一种采用 USB 接口,利用I2C 总线传输数据,由CPLD 控制多路复用器件的大型开关矩阵结构
2010-02-06 10:42:4643

嵌入式CPU外围接口电路的CPLD实现

摘要:介绍了一种采用CPLD实现嵌入式CPU外围电路的方法,将数据总线、译码单元、分频电路及逻辑电路集成于一片CPLD,大大缩小了印制板的面积并提高了系统可靠性,同时,由于CPLD
2010-05-10 09:23:4929

基于CPLD的USB总线的隔离接口实现

本文详细介绍了USB总线的光隔离接口的隔离原理、硬件组成及控制程序的设计。该实现方案采用先进的高速光隔离技术和CPLD控制技术,对基于USB的测试与测量的设备、人体起保护作用
2010-07-21 17:26:1619

基于CPLD的多次重触发存储测试系统设计

提出一种基于CPLD的多次重触发存储测试系统设计方案,详细介绍系统硬件设计以及CPLD内部控制原理,并对CPLD控制电路仿真。该系统体积小、功耗低,能够实时记录多次重触发信号
2010-12-30 16:05:1122

PCI总线至UTOPIA接口控制的CPLD设计实现

摘 要: 本文采用Altera的CPLD实现了PCI总线至UTOPIA接口的逻辑转换控制,为低成本实现ATM终端奠定了基础。
2006-03-11 13:16:50864

CPLD实现单片机与ISA总线并行通信

摘要:用ALTERA公司MAX7000系列CPLD芯片实现单片机与PC104 ISA总线接口之间的并行通信,给出系统设计方法及程序源代码。包括通信软件和AHDL设计部分。CPLD(Complex Programmable Logi
2006-05-26 21:52:11872

基于DSP与CPLD的I2C总线接口的设计与实现

基于DSP与CPLD的I2C总线接口的设计与实现 带有I2C总线接口器件可以十分方便地将一个或多个单片机及外围器件组成单片机系统。尽管这种总线结构没有并行总线
2009-03-28 15:07:471105

基于CPLD的非多路复用与多路复用总线转换桥的设计与实现

基于CPLD的非多路复用与多路复用总线转换桥的设计与实现 微处理器对外并行总线接口方式一般分为两种,一种为多路复用方式,数据与地址采用共用引脚,分时传输;另一
2009-03-28 15:08:27753

I2C器件接口IP核的CPLD设计

I2C器件接口IP核的CPLD设计 根据单片机I2C串行扩展的特点,在EDA软件MaxplusII的环境下,利用AHDL语言,建立IP核。此设计利用状态机实现,在给出设计的同时详细说明IP核的建立
2009-03-28 16:21:351076

CPLD实现单片机与ISA总线并行通信

摘要:用ALTERA公司MAX7000系列CPLD芯片实现单片机与PC104 ISA总线接口之间的并行通信,给出系统设计方法及程序源代码。包括通信软件和AHDL设计部分。 关键词:CPLD
2009-06-20 13:34:281116

CPLD在嵌入式系统与CAN总线网络通信中的应用

摘 要:本文介绍了一种利用可编程器件CPLD实现CAN总线与微机之间接口的设计,说明了设计思想和设计方案,并给出了使用MAX+PLUS Ⅱ软件图形输入法的逻辑设计和
2009-06-20 14:56:08571

PXI总线的模块及性能

PXI 总线有哪些模块?性能如何? PXI 总线常用模块有如下几种: (1) 模拟量I/O常用的有8、12、16、21、24 位
2009-09-06 22:43:371219

基于CPLD的单片机与PCI接口设计解决方案

基于CPLD的单片机与PCI接口设计解决方案 8位单片机在嵌入式系统中应用广泛,然而让它直接与PCI总线设备打交道却有其固有缺陷。8位单片机只有16位
2009-09-26 17:41:20825

一种基于CPLD的单片机与PCI接口设计方案

一种基于CPLD的单片机与PCI接口设计方案 0 引言       8位单片机在嵌入式系统中应用广泛,然而让它直接与PCI总线设备打交道却有其固有缺陷。8
2009-11-12 09:56:50582

采用CPLD的多次重触发存储测试系统解决方案

采用CPLD的多次重触发存储测试系统解决方案 概述:提出一种基于CPLD的多次重触发存储测试系统设计方案,详细介绍系统硬件设计以及CPLD内部控制
2010-03-17 11:37:51531

机载TM总线接口设计方案

机载TM总线接口设计方案 概述:在研发第四代战机过程中,TM总线成为机载航空总线的研究热点之一。提出一种利用EDA技术的TM总线接口设计。硬件
2010-03-24 09:56:461102

采用CPLD的多次重触发存储测试系统的实现

采用CPLD的多次重触发存储测试系统的实现 1 引言   多次重触发技术应用于多种场合,如一个30齿的齿轮,设齿轮啮台系数为1.2,若测量其中1齿多次啮合
2010-03-25 09:04:36602

用双端口RAM实现与PCI总线接口数据通讯

  提出了一种使用CPLD解决双端口RAM地址译码和PCI接口芯片局部总线仲裁的的硬件设计方案,并给出了PCI总线接口芯片
2011-01-07 12:13:031891

基于CPLD的I2C总线接口设计

在电路设计中,I2C总线是比较常用的两线式串行通信方式,大多数的CPU都擅长于并口操作,不具备直接操作I2C总线接口的能力。为了使不具备I2C总线接口能力的CPU通过对并口的简单操作实现对I2C总线接口的控制,在分析I2C总线常用工作模式的基础上,设计实现工作
2011-02-12 16:11:0195

基于PXI总线的数据传输接口设计与实现方案

使用PCI9054 提供的DMA 传输方式,并由其Local 端配置和启动DMA 传输来完成数字频谱数据的传输,达到用PXI 总线实现数字频谱分析仪与工控机的高速数据传输的目的。
2011-09-22 18:06:143818

PCI总线从设备接口CPLD实现

出了一种PCI总线从设备的CPLD实现方法。该方法遵从PCI规范2.2版,实现了资源自动配置并且支持数据突发传输。试验证明该方法的有效性,其突发传输速率可达20 MBs -1 。
2011-11-30 17:06:1160

NI PXI定时与同步设计优势

PXI定时和同步模块利用触发总线、星形触发以及PXI的系统参考时钟来实现高级的多设备同步。 通过共享定时和同步,可大大提高测量精度,实现高级触发方案,或者将多个设备同步作为
2012-03-05 14:54:254246

利用CPLD实现的PCI从设备接口设计

文中主要介绍了采用CPLD实现32 bit 33 MHzPCI从设备接口的设计方法,该从设备接口模块遵从PCI规范2.2版,实现了资源的自动配置,支持突发传输,并为用户提供了一个简单的接口。设计完成
2012-08-06 15:18:221788

基于CPLD的SGPIO总线实现及应用

基于CPLD的SGPIO总线实现及应用
2017-01-24 16:00:5175

基于I2C总线的处理器的联网设计方案

基于I2C总线的处理器的联网设计方案
2017-01-26 11:36:5511

GPS接收机中1553B总线接口CPLD设计

GPS接收机中1553B总线接口CPLD设计
2017-02-07 15:53:4310

总线接口触发器ALVTH16821数据手册

ALVTH16821器件是20位总线接口触发器,具有3态输出,设计用于2.5V或3.3VVCC操作,但具有向5-V系统环境提供TTL接口的能力。
2022-07-11 10:13:408

基于PXI总线的时序信号监测设备的设计方案

时序信号监测设备主要用于飞行器火T品信号、时序指令等信号的监测,是飞行器地面测试的重要组成部分。针对该设备对便携性、可靠性要求较高的特点,采用基于PXI总线的测试技术的一体式设计,充分考虑各种故障
2017-11-07 10:10:0713

基于CPLD触发器功能的模拟实现

实验内容 将基本RS触发器,同步RS触发器,集成J-K触发器,D触发器同时集成一个CPLD芯片中模拟其功能,并研究其相互转换的方法。 实验的具体实现要连线测试。 原理图 如图6-1
2017-12-05 09:33:4113

基于PXI总线与FPGA器件实现双通道高速数字化仪模块的设计

PXI总线是NI公司在计算机外设总线PCI的基础上实现的新一代仪器总线,已经成为业界开放式总线的标准,基于PXI总线的数字化仪模块是现代测试系统中重要的一种数据记录与处理设备。设计一个双通道12
2018-12-18 08:04:002637

采用CPLD器件与单片机实现数字电压表的设计

设计了基于CPLD的数字电压表,采用CPLD器件作为核心处理电路,用单片机进行控制,能较好地减小外界干扰,提高分辨率。该数字电压表能够自动转换量程,从而可提高数字电压表的性能。
2019-04-23 08:28:002030

基于CPLD的测试系统接口设计

介绍了一种用CPLD(复杂可编程逻辑器件)作为核心控制电路的测试系统接口,通过时cPLD和竹L电路的比较及cPLD在系统中实现的强大功能,论述了CPLD在测试系统接口中应用的可行性和优越性,简单介绍
2019-01-01 16:18:001472

基于PXI总线接口的高速数字化仪模块设计实现方法概述

PXI总线是NI公司在计算机外设总线PCI的基础上实现的新一代仪器总线,已经成为业界开放式总线的标准,基于PXI总线的数字化仪模块是现代测 试系统中重要的一种数据记录与处理设备。设计一个双通道12
2020-03-15 16:45:001833

采用CPLD技术实现PCI从设备接口的设计

实现PCI总线协议目前主要有专用接口芯片和CPLD实现两种方式。专用接口芯片使用简单方便、工作稳定可靠,但往往具体应用中只用到部分功能,并且需要可编程逻辑配合使用,这样不仅浪费专用芯片的资源,而且
2020-03-20 09:54:041268

利用DriverWorks开发工具实现PXI总线数据采集卡的设计

得到的数字信号先被送入先进先出存储器进行缓存,当缓存器所存储的数据达到半满/全满时,将发送一个半满/全满标志信号,触发中断,由系统控制器通过PXI总线读取数据。整个系统的工作逻辑时序控制由1片CPLD控制芯片来编程实现接口逻辑采用通用的PXI总线接口逻辑芯片PCI9030来实现
2020-04-10 09:17:27917

采用可编程器件CPLD实现ARINC429收发电路与接口板的通信设计

可编程器件CPLD,用CPLD完成了PC104总线与429总线通讯的主要电路,大大节省了硬件资源,本文着重介绍了CPLD部分的设计。
2020-04-12 11:46:531826

PXI仪用模块的实现与针对EMC应采取的措施

重点描述了PXI仪用模块必须具有的PCI/PXI总线接口、精密时基和触发控制器的实现,最后探讨了PXI仪用模块针对EMC应采取的措施。实际应用表明所设计的PXI仪用模块完全符合PXI硬件规范,达到了设计要求。
2020-04-13 09:12:161682

利用CPLD器件和微处理器实现I2C总线控制器的应用方案

的MCU,可采用软件模拟,有通用软件包可以使用,但功能比较简单。在MCU(以Motorola 68000系列为例)和CPLD电子系统设计中,利用CPLD器件资源,按照I2C总线协议标准模式,设计了功能完善的I2C总线控制器,给出了设计思路和实现方法。
2020-08-11 17:03:551508

箭载测试系统总线接口模块的设计与实现

本文首先介绍了箭载测试系统的总体设计方案,包括 F C AE 1553 总线的基本特性、层次模型、 拓扑结构 、 协议各层功能 分析 等 ,以及总线接口模块的设计方案和功能组成等 。
2021-04-09 17:18:176

基于CPLD/FPGA的半整数分频器设计方案

基于CPLD/FPGA的半整数分频器设计方案
2021-06-17 09:37:0221

CAN总线接口EMC标准电路设计方案

CAN总线接口EMC标准电路设计方案
2021-07-12 10:45:28199

PXI系列科普文】--PXI机箱电源、时钟及触发介绍

昨天带大家认识了PXI机箱之后,今天会详细讲解下关于PXI机箱的槽位号、背板、总线、电源、时钟、触发总线等相关的知识。欢迎持续关注~了解更多欢迎发邮件到ltt@hkaco.com
2022-01-10 14:49:324

基于CPLD/FPGA的多串口扩展设计方案

电子发烧友网站提供《基于CPLD/FPGA的多串口扩展设计方案.pdf》资料免费下载
2023-10-27 09:45:172

已全部加载完成