电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>PLD技术>浅析Altera公司Stratix V FPGA芯片

浅析Altera公司Stratix V FPGA芯片

123下一页全文

本文导航

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Altera发布首款28nm FPGA开发套件

Altera公司日前宣布开始提供第一款带有28-nm FPGA的开发套件——Stratix V GX FPGA信号完整性套件,在推动业界28-nm FPGA发展方面树立了新里程碑。
2011-09-08 09:03:08726

Altera推出全系列28nm FPGA产品

Altera公司宣佈开始量产出货28nm FPGA产品系列所有的叁个产品,包括Stratix V、Arria V与Cyclone V元件。Altera 最新推出的是它的低成本、低功率消耗产品系列中容量最大的Cyclone V FPGA,为业界树
2012-09-06 09:02:151535

Pico公司提供全新Altera Stratix V PCIe FPGA模块

电子发烧友网讯 :近日,Pico Computing 公司宣布将为客户提供全新的M-506 FPGA模块第一个以Altera 28nm Stratix V FPGA芯片为特征的模块。该模块加入了最新的Pico 公司的可扩展PCI - Express架构,还
2012-10-22 14:58:391670

JDSU为下一代光测试仪产品选用Altera Stratix V GT FPGA

Altera公司 (Nasdaq: ALTR)今天宣布,向JDSU发售Stratix® V GT FPGA,以支持其下一代光网络测试仪(ONT)解决方案的量产。
2013-01-29 19:09:401574

Altera Stratix V GX FPGA实现了与PCIe Gen3的兼容

Altera公司 (NASDAQ: ALTR)今天宣布,其28 nm Stratix® V GX FPGA已经收录在最新的PCI-SIG® Integrators名录中,符合PCI Express® (PCIe®) 3.0规范(Gen3)要求。
2013-05-23 10:34:541803

28nm FPGA芯片-Altera 的“雄韬伟略”

电子发烧友网讯: Altera公司 28nm FPGA系列芯片共包括三大系列:Stratix V、Arria V与Cyclone V系列芯片。近日,Altera公司也正式宣布该三大系列芯片已全部开始量产出货。Altera公司凭借着其
2012-09-19 09:15:277735

Altera Stratix V GX FPGA开发板电路图

本帖最后由 eehome 于 2013-1-5 09:47 编辑 Altera Stratix V GX FPGA开发板电路图
2012-08-13 22:22:08

Altera、Xilinx、Actel这些芯片公司FPGA系列产品都有哪些特点?

,与Stratix结构类似,是一种低成本FPGA系列 ,是目前主流产品,其配置芯片也改用全新的产品。  简评:Altera最成功的器件之一,性价比不错,是一种适合中低端应用的通用FPGA
2018-08-20 09:52:02

Altera率先交付高性能28nm FPGA量产芯片

Altera公司近期宣布,开始交付业界第一款高性能28-nm FPGA量产芯片Stratix V FPGA是唯一使用TSMC 28HP工艺制造的FPGA,比竞争解决方案高出一个速率等级
2012-05-14 12:38:53

Altera的Arria V FPGA电源解决方案

描述Power Solution Reference Design for Arria V FPGA from Altera.This solution uses integrated
2018-12-21 11:44:05

Altera_Stratix_V_FPGA提供RLDRAM_3存储器支持

Altera_Stratix_V_FPGA提供RLDRAM_3存储器支持
2012-08-13 22:17:28

Stratix 10 MX FPGA是什么?Stratix 10 MX FPGA有哪些功能?

请问一下Stratix 10 MX FPGA是什么?Stratix 10 MX FPGA有哪些功能?
2021-07-09 08:08:06

Stratix V GX FPGA开发套件,配置问题求助

最近弄了个Stratix V GX FPGA开发套件,然后我看那个说明书,发现这个器件好像没有配置芯片。。配置模式只有jtag,和fpp。然后以前自己的板子都是有jic文件下载到配置芯片里,这次
2014-11-20 15:58:02

Stratix III FPGA与Xilinx Virtex-5之间有什么不同?

Stratix III FPGA与Xilinx Virtex-5的体系结构对比Stratix III FPGA与Xilinx Virtex-5的性能对比
2021-05-07 07:00:14

altera公司IP核使用手册

altera公司IP核使用手册,分享给想学习altera公司FPGA的IP核使用的亲们~~
2013-02-16 22:40:19

altera的仿真与相关设计

华为内部资料:FPGA设计高级技巧(altera篇)以及代码书写规范FPGA是用altera多还是赛灵思的多呢altera的uniphy求altera cyclone V的原理图跟封装库在工程中使
2018-09-12 03:05:56

MAX 10 FPGA的特性

了3款足够“有料”的FPGA及SoC系列:Arria 10、Stratix 10以及MAX 10,它们都是Altera在创新大潮中重磅推出的“第10代”(Generation 10)产品,也为
2019-07-01 08:14:44

[原创]XILINX,ALTERA开发套件及芯片

;nbsp;  专业提供XILINX,ALTERA全系列开发套件及芯片(XILINX-SPARTAN,VIRTEX;ALTERA-CYCLONE,STRATIX)所有器件均为全新原装,常用
2009-12-16 11:43:01

[原创]XILINX,ALTERA开发套件及芯片

专业提供XILINX,ALTERA全系列开发套件及芯片(XILINX-SPARTAN,VIRTEX;ALTERA-CYCLONE,STRATIX)所有器件均为全新原装,并且可免费提供各种资料及软件(ISE,QUARTUS)QQ:379073549MB:***肖全友
2009-12-16 13:08:35

一种基于FPGA的DSU硬件实现方法

摘要:为了实现对非相干雷达的接收相参处理,基于数字稳定校正(DSU)的原理,采用ALTERA公司StratixⅡ系列芯片和VHDL编程语言,设计了一种基于FPGA的DSU硬件实现方法。实验结果表明
2019-06-28 08:27:33

什么是新一代DSP+FPGA高速数字信号处理方案?

FPGA采用Altera 公司的40nm Stratix IV 系列FPGA。通过SRIO协议,DSP可与FPGA的进行高速通信。由于集成了DSP和FPGA各自优点,HPS6678可在高速无线通信、多媒体系统、雷达及卫星系统、医疗系统、高清图像处理等多个领域中发挥重要的作用。
2019-09-24 08:29:12

令人侧目的Altera 28nm FPGA芯片

本帖最后由 ycq654263138 于 2012-9-21 16:34 编辑   电子发烧友网讯:Altera公司 28nm FPGA系列芯片共包括三大系列:Stratix V、Arria
2012-09-21 13:49:05

勇敢的芯板你玩转Altera FPGA

FPGA就是“可反复编程的逻辑器件”。如图1.1所示,这是一颗Altera公司的CycloneV Soc FPGA器件,从外观上看,貌似和一般的CPU芯片没有太大差别。图1.1 Altera公司
2017-09-19 21:52:57

找到一个能用的Quartus ii v13,内带破解及WIN7 64位驱动

2013年5月7号,北京——Altera公司 (NASDAQ: ALTR)今天宣布推出Quartus® II软件13.0版,这一软件实现了性能最好的FPGA和SoC,提高了设计人员的效能。28 nm
2014-01-05 14:26:36

经典FPGA课件 包括altera和xilinx

本帖最后由 eehome 于 2013-1-5 10:09 编辑 共8章,很全面包括altera和xilinx,两个公司FPGA和相关软件都涉及到,软件操作,语法点,编码风格,还有专门一章
2012-12-06 16:10:55

请问Altera公司芯片热设计有哪些资料和工具?

Altera公司芯片热设计有哪些资料和工具?
2019-09-04 05:55:12

谁用过altera的原厂stratix4开发板做pcie?我求助

本帖最后由 eehome 于 2013-1-5 10:07 编辑 硬件:altera原厂音视频开发板stratix IV. $4995的那个软件:quartus 10.0+altera
2012-09-12 21:32:15

针对Altera公司的系列产品的集成式电源解决方案下载

附件的产品资料是咱们针对Altera公司的Cyclone V (GX/SX)、Stratix IV、Stratix V和Arria V 系列产品的集成式电源解决方案,欢迎下载(有分别针对Altera
2018-12-05 09:14:07

Altera公司FPGA做DSP算法的工具

Altera公司FPGA做DSP算法的工具
2006-03-25 13:46:4539

Altera FPGA/CPLD设计(高级篇)

Altera FPGA/CPLD设计(高级篇)》结合作者多年工作经验,深入地讨论了Altera FPGA/CPLD的设计、优化技巧。在讨论FPGA/CPLD设计指导原则的基础上,介绍了Altera器件的高级应用;引领读者
2009-02-12 09:19:124799

Altera FPGA芯片的封装尺寸选择指南

Altera FPGA芯片的封装尺寸选择指南
2009-03-28 14:48:06351

USB-Blaster下载/仿真/调试器-北京革新创展科技有限公司

USB-Blaster的特性:    支持USB Blaster下载仿真调试,通过计算机的USB接口可对AlteraFPGA/CPLD以及配置芯片进行编程、调试等操作
2022-07-27 10:31:29

5SGXEA7N2F45I3N 集成电路、处理器、微控制器 ALTERA/阿尔特拉 封装BGA

Altera 的 28-nm Stratix®5SGXEA7N2F45I3N 集成电路、处理器、微控制器 ALTERA/阿尔特拉 封装BGAV FPGA 包括诸如增强型核心架构、高达每秒 28.05
2022-08-03 15:43:24

针对Altera Stratix IV EP4SGX360和

针对Altera Stratix IV EP4SGX360和EP4SGX530器件的电源参考设计,具体电路如下图:
2010-12-12 10:37:5264

针对Altera Stratix IV EP4SGX70和E

针对Altera Stratix IV EP4SGX70和EP4SGX110器件的电源参考设计,电路图如下:
2010-12-12 10:43:3841

Dini推出业界容量最大的基于Altera Stratix

Dini推出业界容量最大的基于Altera Stratix III器件的ASIC Altera公司日前宣布,Dini集团在其业界容量最大的单板FPGA原型引擎中采用了具有340K逻辑单元(LE)的Strati
2008-11-12 10:36:25444

Altera交付Stratix IV GX收发器信号完整性开

Altera公司宣布,开始提供 Stratix IV GX 版收发器信号完整性开发套件。这一全功能硬件开发平台装配了Altera Stratix IV GX FPGA,其收发器工作速率高达8.5 Gbps,使工程师能够迅速方便地进
2009-04-23 10:55:16554

Altera开始量产发售业界首款集成11.3-Gbps收发器

Altera开始量产发售业界首款集成11.3-Gbps收发器的FPGA Altera公司宣布,开始量产发售Stratix IV GT EP4S100G2 FPGA,这是业界首款集成了11.3-Gbps收发器的FPGAStratix IV GT FPGA是目前
2009-11-05 09:47:42615

Altera推出业界密度最大的Stratix IV EP4S

Altera推出业界密度最大的Stratix IV EP4SE820 FPGA Altera宣布,40-nm Stratix IV E FPGA高端密度范围增大到业界领先的820K逻辑单元(LE)。Stratix IV EP4SE820 FPGA是业界同类产品中密度最大
2009-11-11 16:50:00838

Altera Stratix IV FPGA助推XDI db

Altera Stratix IV FPGA助推XDI dbX分析平台 Altera公司日前宣布,XtremeData有限公司下一代dbX系列数据库平台设计采用了高性能Stratix IV FPGA,该系列专门用于对大型数据库进行全
2009-12-04 08:37:02664

Altera推出面向Stratix IV FPGA的最新开发

Altera推出面向Stratix IV FPGA的最新开发套件 Altera公司近日宣布推出其面向 Stratix IV FPGA 的最新开发套件。Stratix IV E FPGA 开发套件具有业界最高密度、最高性能的 FPGA。该套
2009-12-09 08:45:26792

Altera推出具有530K逻辑元件FPGA 的开发套件

Altera推出具有530K逻辑元件FPGA 的开发套件   Altera 公司 (NASDAQ: ALTR) 今天宣布推出其面向 Stratix® IV FPGA 的最新开发套件。Stratix IV E FPGA 开发套件具有业界最高密度、
2009-12-10 17:08:09638

台积电年中将为Altera试产28nm制程FPGA芯片

台积电年中将为Altera试产28nm制程FPGA芯片  据业者透露,台积电公司将于今年中期开始为Altera公司生产28nm制程FPGA芯片产品。这种FPGA芯片将集成有28Gbps收发器,产品面
2010-02-05 10:21:26545

Altera Stratix IV FPGA继续广受全球媒体

Altera Stratix IV FPGA继续广受全球媒体好评  Altera公司今天宣布,40-nm Stratix® IV FPGA系列最近荣获电子编辑媒体的多个奖项。Stratix IV系列因其在密度、性能和功耗上的优
2010-02-24 09:44:201198

Stratix IV通过Interlaken通用性测试

Stratix IV通过Interlaken通用性测试 Altera公司宣布,Stratix IV FPGA通过Interlaken联盟的器件通用性测试。Altera认证了与使用Interlaken协议的第三方组件的高性能FPGA接口。Stratix IV
2010-03-10 09:26:13557

Altera推出业界带宽最大的28nm Stratix V

Altera推出业界带宽最大的28nm Stratix V FPGA Altera公司近日发布业界带宽最大的FPGA——下一代28-nm Stratix V FPGAStratix V FPGA具有1.6 Tbps串行交换能力,采用各种创新技术和前沿28-n
2010-04-22 10:39:54677

28nm Stratix V FPGA突破带宽瓶颈

28nm Stratix V FPGA突破带宽瓶颈 Altera公司的最新28nm Stratix V FPGA正是为满足高带宽应用设计要求而推出。 移动互联网、高清视频、军事、医疗以及计算
2010-05-10 17:52:04713

基于28nn Stratix V FPGA的100GbE线路

Altera公司的28nm Stratix V FPGA包括增强的核架构,高达28Gbps和低功耗低BER的收发器,以及硬IP区块阵列等. Stratix V FPGA包括四个GT, GX, GS和E系列,内核工作电压0.85V, 533-MHz/1066-Mbps 外接存储器
2010-05-31 14:30:031085

Stratix IV FPGA系列密度最大器件实现量产

Altera公司今天宣布,开始量产发售40-nm Stratix® IV FPGA系列密度最大的器件。Stratix IV E EP4SE820具有820K逻辑单元(LE),非常适合需要高密度、高性能和低功耗FPGA的各类高端应用,包括ASI
2010-06-22 10:50:22694

Stratix系列FPGA电源方案设计分析

Stratix系列FPGAAltera公司推出的面向高带宽系统的可编程逻辑器件,StratixTM FPGA的高密度、高速以及大容量存储等特性使其对系统电源管理及功耗有着严格的要求,特别是FPGA逻辑内核通常对电流的要求非常高
2011-02-17 10:51:321766

Altera业界首款集成增强前向纠错(EFEC) IP内核

Altera公司日前宣布,开始提供业界第一款集成增强前向纠错(EFEC) IP内核,该内核针对高性能Stratix IV和Stratix V系列FPGA进行了优化。
2011-03-09 09:42:311054

基于FPGA的8PSK软解调实现

首先分析了8PSK 软解调算法的复杂度以及MAX算法的基本原理,并在Altera 公司Stratix II 系列FPGA芯片上实现了此软解调硬件模块
2011-04-08 11:22:156901

Altera发售业界最复杂半导体 Stratix V FPGA

Stratix V FPGA是目前业界发售的最复杂的半导体。它是利用TSMC的28-nm高性能(28HP)工艺来提高性能和带宽的唯一FPGA。28HP工艺结合最优FPGA设计
2011-04-20 09:02:49488

Altera发售世界上第一款具有28-Gbps收发器的FPGA

Altera公司(NASDAQ: ALTR)今天宣布开始发售世界上第一款具有28-Gbps收发器的FPGAStratix® V GT器件是业界目前为止带宽最大、性能最好的FPGA
2011-08-26 09:51:141900

Altera率先实现Stratix V GX FPGA与PCIe Gen3交换机互操作

Altera公司(Nasdaq: ALTR)宣布,成功实现28-nm Stratix® V GX FPGA与PLX®技术公司(Nasdaq: PLXT) ExpressLane™ PCI Express® (PCIe®) Gen3的互操作
2011-12-14 09:28:09677

Altera首次演示FPGA与100Gbps光模块的互操作性

2012年2月23号,北京——Altera公司(NASDAQ:ALTR)今天宣布,使用28-nm Stratix® V GT FPGA成功演示了与100-Gbps光模块的互操作性,从而支持实现下一代100-Gbps网络。
2012-02-24 08:41:251240

Altera首次演示FPGA与100-Gbps光模块的互操作性

Altera Stratix V GT器件是业界唯一采用28-Gbps收发器技术的FPGA,支持实现下一代100-Gbps网络
2012-02-27 09:55:04773

Altera Stratix V FPGA实现业界第一款单芯片双路100G转发器

Altera公司(NASDAQ: ALTR)今天宣布,在28-nm高性能Stratix® V FPGA中实现了业界第一个单芯片双路100G转发器解决方案。
2012-03-05 09:40:11665

基于FPGA的数字稳定校正单元的实现

为了实现对非相干雷达的接收相参处理,基于数字稳定校正(DSU)的原理,采用ALTERA公司StratixⅡ系列芯片和VHDL编程语言,设计了一种基于FPGA的DSU硬件实现方法。实验结果表明基于FPGA
2012-06-26 15:48:3627

Altera发售业界性能最好、具有背板功能的收发器Stratix V FPGA

Altera公司(Nasdaq: ALTR)今天宣布,开始批量发售FPGA业界性能最好、具有背板功能的收发器。
2012-08-03 09:38:03865

Altera公司 Stratix V GX FPGA开发板电路图

本资料是关于Altera公司 Stratix V GX FPGA开发板电路图的资料。资料包括开发板原理图、PCB图。
2012-08-10 15:55:44139

Altera FPGA的选型及开发

本资料是关于Altera FPGA的选型及开发,内容大纲是:AlteraFPGA体系结构简介;AlteraFPGA选型策略;嵌入式逻辑分析工具SignalTAPII的使用;基于CPLD的FPGA配制方法。
2012-08-15 14:48:34103

Altera Stratix25DSP在DSP设计实验教学中的应用

Altera Stratix25DSP在DSP设计实验教学中的应用
2012-08-15 16:30:4428

Altera公司SoC FPGA产品简介高级信息摘要

Altera公司SoC FPGA产品简介高级信息摘要(英文资料) 图 硬件处理系统
2012-09-05 13:57:3828

Altera公司SoC FPGA 简介

本文是关于Altera公司SoC FPGA 的用户手册(英文版) 。文中主要介绍了什么是SoC FPGA、SoC FPGA相关知识介绍、为什么要使用SoC FPGA以及SoC FPGA都应用到哪些方面。
2012-09-05 14:03:08153

Altera 28nm FPGA芯片精彩剖析

电子发烧友网讯: Altera公司 28nm FPGA系列芯片共包括三大系列:Stratix V、Arria V与Cyclone V系列芯片。近日,Altera公司也正式宣布该三大系列芯片已全部开始量产出货。Altera公司凭借着其
2012-09-19 11:59:23230

Altera Quartus II软件v13.0支持实现世界上最快的FPGA设计

Altera公司 (NASDAQ: ALTR)今天宣布推出Quartus® II软件13.0版,这一软件实现了性能最好的FPGA和SoC,提高了设计人员的效能。28 nm FPGA和SoC用户的编译
2013-05-07 14:30:303639

Altera全球同步推出10代FPGA和SoC

Altera于6月11日在北京宣布,全球同步推出10代FPGA和SoC。先行发布的包括高端Stratix10和中端Arria10系列。目标是替代传统的ASSP和ASIC。
2013-06-13 14:26:142150

Altera演示Cavium OCTEON多核处理器的Interlaken互联

Altera公司 (NASDAQ: ALTR)今天宣布,Stratix® V FPGA的Interlaken知识产权(IP)内核实现了与Cavium OCTEON多核处理器的互操作。这一成功的工作保证了芯片芯片前端互联,更方便OEM做出器件选择决定。
2013-08-03 10:18:561064

AlteraFPGA与Micron混合内存立方实现互操作,共同引领业界

和SoC的HMC优势。这一展示表明了Altera的10代系列产品对HMC产品的支持进行了早期验证,能够及时将产品推向市场,包括Stratix 10以及Arria 10 FPGA和SoC。
2013-09-05 17:49:29918

Altera出色的FPGA和SoC创新受DesignCon表彰

Altera的14 nm Stratix 10 FPGA和SoC以及ARM DS-5 Altera版SoC工具包赢得两项2014设计创意奖
2014-02-10 09:50:15821

Altera助推客户启动14 nm Stratix 10 FPGA和SoC设计

2014年8月5号,北京——Altera公司(Nasdaq: ALTR)今年早些时候宣布了早期客户基准测试结果获得成功,在此基础上,今天发布面向Stratix® 10 FPGA和SoC的早期试用设计软件,这是业界第一款针对14-nm FPGA的设计软件。
2014-08-07 13:24:10807

NBP6_Altera_Stratix_BGA780_Rev1.00

NBP6 Altera Stratix BGA780 Rev1.00
2016-02-17 14:50:530

Altera彻底改变基于FPGA的浮点DSP

的可编程逻辑公司,前所未有的提高了DSP性能、设计人员的效能和逻辑效率。硬核浮点DSP模块集成在正在发售的Altera 20 nm Arria 10 FPGA和SoC中,也集成在14 nm Stratix
2018-02-11 13:34:006954

地球上最快的FPGA芯片:Intel推出Stratix10TXFPGA芯片

Intel 再次隆重介绍了自家的 Stratix 10 TX FPGA芯片 。 这是地球上最快的FPGA芯片,浮点性能达到10TFLOPS(每秒10万亿次),简单来说,可以在1秒内处理420张蓝光
2018-04-23 05:55:007830

Virtex-7 GTH 收发器对决 Altera Stratix V GX 收发器

Virtex-7 GTH 收发器与Altera Stratix V GX 收发器的功能对比情况
2018-06-06 01:45:003572

比较 Xilinx® Virtex®-7FPGA GTH收发器和Altera Stratix V GX收发器的均衡能力

设计人员呼吁提升10G+ 芯片芯片和背板性能, 依赖接收机均衡来补偿信号失真。观看视频, 并排比较 Xilinx® Virtex®-7 FPGA GTH 收发器 和 Altera Stratix V GX 收发器的均衡能力。
2018-05-23 15:47:003974

如何设计让Stratix III FPGAs 的消耗比 VITEX-5 的更小

Altera's proprietary Programmable Power Technology enables the Stratix® III logic fabric to consume
2018-06-22 03:50:001687

如何设计Stratix II FPGA系统电源的详细资料概述

Stratix II是ALTERA公司生产的一款高性能FPGA器件。它采用TSMC的90 nm低k绝缘工艺技术生产,等价逻辑单元(LE)高达180 k,嵌入式存储器容量达到9 MB。该器件不但具有
2018-10-24 14:45:141

业界密度最大的Stratix IV EP4SE820 FPGAAltera

关键词:ALTERA , FPGA , Stratix , 密度 , 业界 Altera宣布,40-nm Stratix IV E FPGA高端密度范围增大到业界领先的820K逻辑单元(LE
2018-10-24 20:40:01419

Altera Stratix IV GT 100G开发方案

关键词:ALTERA , Stratix IV GT 100G Altera公司Stratix IV 40nm FPGA包括Stratix IV E, Stratix IV GX和Stratix
2019-02-16 09:51:01495

浅析FPGA芯片的散热设计

ALTERAFPGA分为商用级(commercial)和工业级(induatrial)两种,商用级的芯片可以正常工作的结温范围为0~85摄氏度,而工业级芯片的范围是-40~100摄氏度。在实际电路中,我们必须保证芯片的结温在其可以承受的范围之内。
2019-02-28 14:41:506990

AlteraStratix® V FPGA是业界唯一能提供14.1 Gbps收发器带宽的FPGA

Altera公司日前宣布,开始批量发售FPGA业界性能最好、具有背板功能的收发器。AlteraStratix® V FPGA是业界唯一能够提供14.1 Gbps收发器带宽的FPGA,也是唯一
2019-05-27 10:33:261467

Intel即将推出Stratix FPGA芯片

Intel又次隆重介绍了自家的Stratix 10 TX FPGA芯片。浮点性能达到10TFLOPS(每秒10万亿次),具体化的就是可以在1秒内处理420张蓝光碟片的数据信息。
2019-08-07 14:42:09441

英特尔将要开始生产Stratix 10的FPGA芯片

英特尔已经开始生产可用于生产仿真系统的新型大容量现场可编程门阵列(FPGA):Stratix 10芯片,该芯片使用了先进的桥接工艺,该工艺将通过逻辑上和电气技术的交叉结合来实现两个高密度的FPGA芯片缝合在一起。
2019-11-13 15:02:23749

Altera公司FPGA选择示意图资料免费下载

本文档的主要内容详细介绍的是Altera公司FPGA选择示意图资料免费下载。
2020-05-25 08:00:0021

Altera推出面向Stratix® IV FPGA的最新开发套件

Altera公司推出其面向Stratix® IV FPGA的最新开发套件。Stratix IV E FPGA 开发套件具有业界最高密度、最高性能的 FPGA。该套件为用户提供了全面的设计环境,其中包括迅速开始其高密度原型产品设计所需的硬件和软件。
2020-08-30 08:19:01826

Altera公司FPGA高端密度范围增大到820K逻辑单元

Altera公司 宣布,40-nm Stratix® IV E FPGA高端密度范围增大到业界领先的820K逻辑单元(LE)。Stratix IV EP4SE820 FPGA是业界同类产品中密度最大
2020-08-30 08:22:00850

基于Altera Stratix-V FPGA的收发器

SFP + SR,LR,LRM和Altera Stratix-V FPGA的收发器。 目的 本报告演示了Avago 10Gbs以太网SFP +收发器产品,AFBR-709SMZ(SFP + SR
2021-04-13 15:13:303107

Altera Stratix V FPGA的电源解决方案

Altera Stratix V FPGA的电源解决方案
2021-04-29 09:41:475

具有LVDS输出的LTM9011 ADC的AN147-Altera Stratix IV FPGA接口

具有LVDS输出的LTM9011 ADC的AN147-Altera Stratix IV FPGA接口
2021-05-09 21:19:5314

wp02-将凌力尔特公司的DDR LVDS ADC与Altera Stratix IV FPGA接口

wp02-将凌力尔特公司的DDR LVDS ADC与Altera Stratix IV FPGA接口
2021-05-23 11:13:281

Altera Stratix IV接口的WP02-VHDL

Altera Stratix IV接口的WP02-VHDL
2021-06-07 14:41:227

Altera FPGA CPLD学习笔记

Altera FPGA CPLD学习笔记(肇庆理士电源技术有限)-Altera FPGA CPLD学习笔记                 
2021-09-18 10:54:4179

英特尔Stratix 10 GX 10M FPGA原型设计系统

proFPGA 四模块英特尔 Stratix 10 GX 10M FPGA 原型设计系统采用 4 个基于英特尔 Stratix 10 GX 10M FPGA 的可插拔 FPGA 模块。
2023-03-17 11:22:30470

Intel和Xilinx的FPGA系列芯片命名规则

Altera FPGA产品系列也备受欢迎,主要的FPGA系列产品有:Cyclone、MAXII、Arria、Stratix、Agilex,其中应用广泛的消费级FPGA芯片是Cyclone系列,市面上大部分Inter开发板都是用的Cyclone IV。
2023-05-26 14:44:32721

英特尔旗下FPGA公司Altera正式亮相

日前,英特尔 FPGA Vision线上直播中发布,将英特尔编程解决方案事业部 (PSG) 作为独立的FPGA公司——Altera
2024-03-18 14:11:26108

已全部加载完成