电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>PLD技术>Altera推出业界密度最大的Stratix IV EP4S

Altera推出业界密度最大的Stratix IV EP4S

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

浅析Altera公司Stratix V FPGA芯片

电子发烧友网: 本文主要为大家浅析Altera公司 28nm Stratix V FPGA。Altera公司公布了其28nm Stratix V FPGA的性能参数指标,具体参数如下表所示。该款芯片发售日期为2011年一季度。 与Altera St
2012-08-10 10:07:047384

Altera推出全系列28nm FPGA产品

Altera公司宣佈开始量产出货28nm FPGA产品系列所有的叁个产品,包括Stratix V、Arria V与Cyclone V元件。Altera 最新推出的是它的低成本、低功率消耗产品系列中容量最大的Cyclone V FPGA,为业界
2012-09-06 09:02:151535

Altera推出业界带宽最大的28nm中端FPGA

电子发烧友网讯 :Arria V GZ拓展了Altera中端FPGA系列,满足广播和通信系统日益增长的带宽需求。随着Arria V GZ型号的推出,进一步拓展了公司的28 nm系列产品。 Altera公司 (NASDAQ: ALTR)
2012-10-16 12:53:181298

Pico公司提供全新Altera Stratix V PCIe FPGA模块

电子发烧友网讯 :近日,Pico Computing 公司宣布将为客户提供全新的M-506 FPGA模块第一个以Altera 28nm Stratix V FPGA芯片为特征的模块。该模块加入了最新的Pico 公司的可扩展PCI - Express架构,还
2012-10-22 14:58:391670

JDSU为下一代光测试仪产品选用Altera Stratix V GT FPGA

Altera公司 (Nasdaq: ALTR)今天宣布,向JDSU发售Stratix® V GT FPGA,以支持其下一代光网络测试仪(ONT)解决方案的量产。
2013-01-29 19:09:401574

Altera Stratix V GX FPGA实现了与PCIe Gen3的兼容

Altera公司 (NASDAQ: ALTR)今天宣布,其28 nm Stratix® V GX FPGA已经收录在最新的PCI-SIG® Integrators名录中,符合PCI Express® (PCIe®) 3.0规范(Gen3)要求。
2013-05-23 10:34:541803

ALTERA器件选型手册

………………………………………………………………………………………….4 5、 Cyclone II系列器件………………………………………………………………………………………6 6、 Stratix系列器件
2012-08-10 18:24:17

Altera Stratix V GX FPGA开发板电路图

本帖最后由 eehome 于 2013-1-5 09:47 编辑 Altera Stratix V GX FPGA开发板电路图
2012-08-13 22:22:08

Altera、Xilinx、Actel这些芯片公司的FPGA系列产品都有哪些特点?

,用户可以根据自己实际应用要求进行选择。在性能可以满足的情况下,优先选择低成本器件。  * Cyclone(飓风):Altera中等规模FPGA,2003年推出,0.13um工艺,1.5v内核供电
2018-08-20 09:52:02

Altera率先交付高性能28nm FPGA量产芯片

。     Altera于2011年4月开始发售业界第一款高端28-nm FPGA工程样片,在不到一年的时间便推出量产芯片--业界最快的28-nm FPGA产品。ALTEra仍然是唯一发售具有
2012-05-14 12:38:53

Altera_Stratix_V_FPGA提供RLDRAM_3存储器支持

Altera_Stratix_V_FPGA提供RLDRAM_3存储器支持
2012-08-13 22:17:28

Quartus II软件和Quartus II网络版的区别

Altera®高密度FPGA支持HardCopy® Stratix® 结构化ASICModelSim®-Altera仿真软件其他的高效率功能表1详细比较了Altera软件订购版中的Quartus II
2012-08-15 12:30:03

[重要更新][Quartus II][14.1正式版][下载链接和破解器]

[重要更新][Quartus II][14.1正式版][下载链接和破解器]----14.1版本最大的变化就是增加了2大系列的器件库: MAX 10和Arria 10。这2大系列据Altera中国区
2014-12-26 00:36:54

什么是Altera系列低成本Cyclone IV FPGA?

日前,Altera发布新系列Cyclone IV FPGA ,延续其收发器技术的领先优势。当前移动视频、语音和数据访问以及高质量3D图像对低成本带宽需求与日俱增,与此同时,终端产品市场,如智能电话等
2019-07-31 06:59:45

ALtera官网下载了一个参考设计,其基于stratix V,但我的开发板型号为Arria 10 SOC,做相应修改后,编译出现以下错误

ALtera官网下载了一个参考设计,其基于stratix V,但我的开发板型号为Arria 10 SOC,做相应修改后,编译出现以下,不知道有人遇到过没有,求帮助。Error (14566
2018-01-07 21:16:13

令人侧目的Altera 28nm FPGA芯片?

芯片市场优势  Altera 28nm Stratix V FPGA在高端应用市场中实现了业界最大带宽和最高系统集成度, 非常灵活,降低了成本和总功耗。对于大批量产品,采用Stratix V FPGA
2012-09-21 13:49:05

关于Altera EP1S30F1020C6N的配置方式

最近在做一个课题,在线请教一下关于Altera EP1S30F1020C6N芯片的配置方式?除了AS模式下的EPCS配置芯片,请问还有其他的配置方式吗?谢谢
2020-11-05 16:49:01

分享一款不错的Stratix IV GT:100G开发方案

Stratix IV FPGA主要特性是什么?分享一款不错的Stratix IV GT:100G开发方案
2021-05-25 06:03:07

刚开发了一款EP4CE15 FPGA板子,大家看下

` 本帖最后由 100dongdong 于 2013-7-3 12:06 编辑 选用ALTERA公司EP4CE15F17 FPGA,片上资源非常丰富, EP4CE15F17包含15408个寄存器
2013-06-22 20:44:19

基于Stratix II EP2S60改进中值滤波器的设计及实现,不看肯定后悔

本设计方案采用了一种改进的快速中值滤波算法,成功地在Altera公司的高性能Stratix II EP2S60上实现整个数字红外图像滤波,在保证实时性的同时,使得硬件体积大为缩减,大大降低了成本
2021-04-23 06:00:55

如何用VHDL、Verilog HDL实现设计输入?

如何在ALTERA公司的Quartus II环境下用VHDL、Verilog HDL实现设计输入,采用同步时钟,成功编译、综合、适配和仿真,并下载到Stratix系列FPGA芯片EP1S25F780C5中。
2021-04-15 06:19:38

有关Stratix IV GX的CMU使用

的开发板)开发板上发现是使用了CMU通道的,如图1所示:图1:Stratix IV GX SI开发板原理图截图[size=13.333333969116211px]如图1所示,QL0和QL2的CMU通道
2014-12-03 15:16:21

有关Stratix IV GX的CMU使用

的开发板)开发板上发现是使用了CMU通道的,如图1所示:图1:Stratix IV GX SI开发板原理图截图如图1所示,QL0和QL2的CMU通道的RX都用于Transceiver参考时钟的输入,而QL1
2015-01-20 17:28:58

最大密度子图?

最大密度子图
2020-11-11 06:17:11

请问Altera cyclone IV E一个组合逻辑耗时多少

Altera cyclone IV E 一个组合逻辑耗时多少一个与门、或门、多路器分别耗时多少?C6能C8这两个速度等级分别是多少
2019-05-06 08:31:13

请问有Altera的FPGA Altium Designer 6.9可用的原理图库和封装库吗?

Altera的FPGA Altium Designer 6.9可用的原理图库和封装库,我用的是Cyclone IV系列的,EP4CE15,
2019-05-19 23:20:55

谁用过altera的原厂stratix4开发板做pcie?我求助

本帖最后由 eehome 于 2013-1-5 10:07 编辑 硬件:altera原厂音视频开发板stratix IV. $4995的那个软件:quartus 10.0+altera
2012-09-12 21:32:15

针对Altera公司的系列产品的集成式电源解决方案下载

附件的产品资料是咱们针对Altera公司的Cyclone V (GX/SX)、Stratix IVStratix V和Arria V 系列产品的集成式电源解决方案,欢迎下载(有分别针对Altera
2018-12-05 09:14:07

在40-nm工艺节点实现世界上最先进的定制逻辑器件

Altera于2008年第二季度推出Stratix® IV和HardCopy® IV器件系列标志着世界上首款40-nm FPGA和业界唯一40-nm ASIC 无风险移植途径的诞生。Altera 通过三年周密的规划和开发,并与代工线
2009-04-12 00:21:5215

EP4CE115F23I7N,旋风IV,INTEL/ALTERA,处理器

EP4CE115F23I7N,旋风IV,INTEL/ALTERA,处理器    EP4CE115F23I7N,旋风IV,INTEL/ALTERA,处理器
2023-02-16 14:30:05

EP4CE10F17C8N,Cyclone IV FPGA设备,INTEL/ALTERA

EP4CE10F17C8N,Cyclone IV FPGA设备,INTEL/ALTERAEP4CE10F17C8N,Cyclone IV FPGA设备,INTEL
2023-02-20 17:00:57

EP4CE10F17I7N,Cyclone IV FPGA设备,INTEL/ALTERA

EP4CE10F17I7N,Cyclone IV FPGA设备,INTEL/ALTERAEP4CE10F17I7N,Cyclone IV FPGA设备,INTEL
2023-02-20 17:03:19

EP4CE6F17C8N ,Cyclone IV FPGA设备,INTEL/ALTERA

EP4CE6F17C8N ,Cyclone IV FPGA设备,INTEL/ALTERAEP4CE6F17C8N ,Cyclone IV FPGA设备,INTEL
2023-02-20 17:05:47

Stratix IV Device Handbook,Vol

® Stratix® IV family ofdevices.How to Contact AlteraFor the most up-to-date information about Altera products, see the following ta
2010-02-25 23:34:0215

Stratix II EP2S60 DSP Developm

Stratix II EP2S60 DSP Development Board The Stratix® II EP2S60 DSP development board
2010-04-07 10:54:2751

针对Altera Stratix IV EP4SGX360和

针对Altera Stratix IV EP4SGX360和EP4SGX530器件的电源参考设计,具体电路如下图:
2010-12-12 10:37:5264

针对Altera Stratix IV EP4SGX70和E

针对Altera Stratix IV EP4SGX70和EP4SGX110器件的电源参考设计,电路图如下:
2010-12-12 10:43:3841

针对Altera Cyclone IV: 3.3-V的TPS

针对Altera Cyclone IV: 3.3-V的TPS54318解决方案,电路图如下:
2010-12-12 10:45:1140

Dini推出业界容量最大的基于Altera Stratix

Dini推出业界容量最大的基于Altera Stratix III器件的ASIC Altera公司日前宣布,Dini集团在其业界容量最大的单板FPGA原型引擎中采用了具有340K逻辑单元(LE)的Strati
2008-11-12 10:36:25444

Altera交付Stratix IV GX收发器信号完整性开

Altera公司宣布,开始提供 Stratix IV GX 版收发器信号完整性开发套件。这一全功能硬件开发平台装配了Altera Stratix IV GX FPGA,其收发器工作速率高达8.5 Gbps,使工程师能够迅速方便地进
2009-04-23 10:55:16554

Altera新Cyclone IV FPGA拓展了Cyclo

Altera新Cyclone IV FPGA拓展了Cyclone FPGA系列 Altera拓展其成功的Cyclone FPGA系列并延续其收发器技术领先优势,于今天发布Cyclone IV FPGA新系列。在移动视频
2009-11-04 08:46:381221

Altera开始量产发售业界首款集成11.3-Gbps收发器

Altera开始量产发售业界首款集成11.3-Gbps收发器的FPGA Altera公司宣布,开始量产发售Stratix IV GT EP4S100G2 FPGA,这是业界首款集成了11.3-Gbps收发器的FPGA。Stratix IV GT FPGA是目前
2009-11-05 09:47:42615

Altera Stratix IV FPGA助推XDI db

Altera Stratix IV FPGA助推XDI dbX分析平台 Altera公司日前宣布,XtremeData有限公司下一代dbX系列数据库平台设计采用了高性能Stratix IV FPGA,该系列专门用于对大型数据库进行全
2009-12-04 08:37:02664

Altera推出面向Stratix IV FPGA的最新开发

Altera推出面向Stratix IV FPGA的最新开发套件 Altera公司近日宣布推出其面向 Stratix IV FPGA 的最新开发套件。Stratix IV E FPGA 开发套件具有业界最高密度、最高性能的 FPGA。该套
2009-12-09 08:45:26792

Altera推出具有530K逻辑元件FPGA 的开发套件

Altera推出具有530K逻辑元件FPGA 的开发套件   Altera 公司 (NASDAQ: ALTR) 今天宣布推出其面向 Stratix® IV FPGA 的最新开发套件。Stratix IV E FPGA 开发套件具有业界最高密度
2009-12-10 17:08:09638

在40-nm工艺节点实现世界上最先进的定制逻辑器件

在40-nm工艺节点实现世界上最先进的定制逻辑器件 引言   Altera于2008年第二季度推出Stratix® IV和HardCopy® IV器件系列标志着世界上首款40-nm FPGA和业界唯一40-
2010-02-04 11:08:331222

Altera Stratix IV FPGA继续广受全球媒体

Altera Stratix IV FPGA继续广受全球媒体好评  Altera公司今天宣布,40-nm Stratix® IV FPGA系列最近荣获电子编辑媒体的多个奖项。Stratix IV系列因其在密度、性能和功耗上的优
2010-02-24 09:44:201198

Stratix IV通过Interlaken通用性测试

Stratix IV通过Interlaken通用性测试 Altera公司宣布,Stratix IV FPGA通过Interlaken联盟的器件通用性测试。Altera认证了与使用Interlaken协议的第三方组件的高性能FPGA接口。Stratix IV
2010-03-10 09:26:13557

低功耗Cyclone IV FPGA

低功耗Cyclone IV FPGA Altera公司宣布,开始批量发售Cyclone IV FPGA。公司还宣布开始提供基于Cyclone IV GX的收发器入门开发套件。Altera的Cyclone IV FPGA设计用于无线、固网、广播
2010-03-31 10:42:421432

Altera推出业界带宽最大的28nm Stratix V

Altera推出业界带宽最大的28nm Stratix V FPGA Altera公司近日发布业界带宽最大的FPGA——下一代28-nm Stratix V FPGA。Stratix V FPGA具有1.6 Tbps串行交换能力,采用各种创新技术和前沿28-n
2010-04-22 10:39:54677

28nm Stratix V FPGA突破带宽瓶颈

28nm Stratix V FPGA突破带宽瓶颈 Altera公司的最新28nm Stratix V FPGA正是为满足高带宽应用设计要求而推出。 移动互联网、高清视频、军事、医疗以及计算
2010-05-10 17:52:04713

Stratix IV FPGA系列密度最大器件实现量产

Altera公司今天宣布,开始量产发售40-nm Stratix® IV FPGA系列密度最大的器件。Stratix IV E EP4SE820具有820K逻辑单元(LE),非常适合需要高密度、高性能和低功耗FPGA的各类高端应用,包括ASI
2010-06-22 10:50:22694

S2C Inc发布第四代快速SoC原型工具 S4 TAI

S2C Inc.宣布在Altera公司的40-nm StraTIx IV现场可编程逻辑闸阵列(FPGA)基础上发布其第四代快速SoC原型工具,即S4 TAI Logic Module。   Dual S4 TAI Logic Module因配备两个Stratix IV EP4SE82
2010-07-01 08:50:39917

Altera业界首款集成增强前向纠错(EFEC) IP内核

Altera公司日前宣布,开始提供业界第一款集成增强前向纠错(EFEC) IP内核,该内核针对高性能Stratix IVStratix V系列FPGA进行了优化。
2011-03-09 09:42:311054

Altera EP3C25 开发板原理图与PCB文件

本内容介绍了Altera EP3C25 开发板的制作及详细原理图的提供 并且给出了PCB文件供大家使用
2011-03-26 16:48:181046

Altera、Apical和AltaSens联合发布业界首款HD宽动态范

Altera公司 (NASDAQ: ALTR)、Apical有限公司 (UK)以及AltaSens有限公司今天宣布,开始提供业界第一款HD WDR视频监控芯片组。这一独特的芯片组结合了Altera Cyclone IV E FPGA和安全芯片
2011-04-07 09:21:061260

Altera发售业界最复杂半导体 Stratix V FPGA

Stratix V FPGA是目前业界发售的最复杂的半导体。它是利用TSMC的28-nm高性能(28HP)工艺来提高性能和带宽的唯一FPGA。28HP工艺结合最优FPGA设计
2011-04-20 09:02:49488

Altera发售世界上第一款具有28-Gbps收发器的FPGA

Altera公司(NASDAQ: ALTR)今天宣布开始发售世界上第一款具有28-Gbps收发器的FPGA。Stratix® V GT器件是业界目前为止带宽最大、性能最好的FPGA。
2011-08-26 09:51:141900

Altera率先实现Stratix V GX FPGA与PCIe Gen3交换机互操作

Altera公司(Nasdaq: ALTR)宣布,成功实现28-nm Stratix® V GX FPGA与PLX®技术公司(Nasdaq: PLXT) ExpressLane™ PCI Express® (PCIe®) Gen3的互操作
2011-12-14 09:28:09677

Altium与Altera合作发布全新在线元件资源和软件支持

2012年2月13日,中国北京讯– 下一代电子设计软件与服务开发商Altium公司近日宣布为AlteraStratix® IV FPGA和MAX® V CPLD器件产品系列的板级元件提供全新的器件和升级,通过Altium的生态系统
2012-02-14 09:02:30706

Altera首次演示FPGA与100-Gbps光模块的互操作性

Altera Stratix V GT器件是业界唯一采用28-Gbps收发器技术的FPGA,支持实现下一代100-Gbps网络
2012-02-27 09:55:04773

Altera Stratix V FPGA实现业界第一款单芯片双路100G转发器

Altera公司(NASDAQ: ALTR)今天宣布,在28-nm高性能Stratix® V FPGA中实现了业界第一个单芯片双路100G转发器解决方案。
2012-03-05 09:40:11665

Altera Cyclone IV GX系列FPGA开发方案

Altera 公司的Cyclone IV 系列 FPGA 包括两个系列:Cyclone IV E和Cyclone IV GX,具有低成本、低功耗的FPGA 架构,6 K 到150 K 的逻辑单元,高达6.3 Mb 的嵌入式存储器,小于1.5 W 的总功耗;Cyclone IV GX 器件提供
2012-05-31 09:08:548725

Altera发售业界性能最好、具有背板功能的收发器Stratix V FPGA

Altera公司(Nasdaq: ALTR)今天宣布,开始批量发售FPGA业界性能最好、具有背板功能的收发器。
2012-08-03 09:38:03865

Altera公司 Stratix V GX FPGA开发板电路图

本资料是关于Altera公司 Stratix V GX FPGA开发板电路图的资料。资料包括开发板原理图、PCB图。
2012-08-10 15:55:44139

Altera Stratix25DSP在DSP设计实验教学中的应用

Altera Stratix25DSP在DSP设计实验教学中的应用
2012-08-15 16:30:4428

Altera全球同步推出10代FPGA和SoC

Altera于6月11日在北京宣布,全球同步推出10代FPGA和SoC。先行发布的包括高端Stratix10和中端Arria10系列。目标是替代传统的ASSP和ASIC。
2013-06-13 14:26:142150

Altera开始量售FPGA业界性能最高的SoC

级Cyclone V SoC达到了925 MHz,汽车级达到了700 MHz,工业级Arria V SoC达到了1.05 GHz,在FPGA业界,这些器件成为性能最高的SoC。Altera SoC为嵌入式开发人员提供了最可靠的体系结构、效能最高的开发工具以及密度最全的系列产品。
2013-09-26 17:48:23993

Altera出色的FPGA和SoC创新受DesignCon表彰

Altera的14 nm Stratix 10 FPGA和SoC以及ARM DS-5 Altera版SoC工具包赢得两项2014设计创意奖
2014-02-10 09:50:15821

Altera助推客户启动14 nm Stratix 10 FPGA和SoC设计

2014年8月5号,北京——Altera公司(Nasdaq: ALTR)今年早些时候宣布了早期客户基准测试结果获得成功,在此基础上,今天发布面向Stratix® 10 FPGA和SoC的早期试用设计软件,这是业界第一款针对14-nm FPGA的设计软件。
2014-08-07 13:24:10807

NBP6_Altera_Stratix_BGA780_Rev1.00

NBP6 Altera Stratix BGA780 Rev1.00
2016-02-17 14:50:530

实现Cyclone_IV_GX器件中的动态

Altera cyclone iv 器件的动态配置
2016-02-23 17:05:512

AN592:Cyclone_IV_Design_Guidelines

Altera cyclone iv 设计指南
2016-02-23 17:11:525

Virtex-7 GTH 收发器对决 Altera Stratix V GX 收发器

Virtex-7 GTH 收发器与Altera Stratix V GX 收发器的功能对比情况
2018-06-06 01:45:003572

了解分析Stratix IV GX的特点

Altera新的Stratix® IV GX版收发器信号完整性开发套件支持对收发器互操作性和SERDES信号完整性进行全面评估。 观看5分钟视频,了解: 采用3英寸和40英寸电路板
2018-06-22 10:56:001880

了解100G Interlaken解决方案及使用Stratix IV GT版10G收发器

板上的Altera® Interlaken解决方案。   了解怎样使用我们的Stratix IV GT版10G收发器信号完整性套件来评估信号完整性,产生并监视PRBS码型。   了解业界功耗最低的高密度、高性能40-nm FPGA能够为您的100G固网应用带来什么。
2018-06-22 10:01:003757

Altera推出业界带宽最大的28 nm中端FPGA

关键词:Arria , FPGA Arria V GZ拓展了Altera中端FPGA系列,满足广播和通信系统日益增长的带宽需求 Altera公司今天宣布,随着Arria V GZ型号的推出,进一步
2018-09-30 00:13:02276

业界密度最大Stratix IV EP4SE820 FPGA(Altera

)。Stratix IV EP4SE820 FPGA是业界同类产品中密度最大、性能最好、功耗最低的FPGA。EP4SE820 FPGA非常适合各种需要大容量FPGA的高端数字应用,包括ASIC原型开发和仿真
2018-10-24 20:40:01419

Altera开发出了一套完整的SoC解决方案

Altera的Arria II GX、Stratix IV GT、Stratix IV GX FPGAs和HardCopy IV GX ASIC采用了通用收发器技术,由一套通用开发工具为其提供支持
2018-10-25 15:43:501393

Altera发布一套完整的SoC解决方案

Altera的Arria II GX、Stratix IV GT、StraTIx IV GX FPGAs和HardCopy IV GX ASIC采用了通用收发器技术,由一套通用开发工具为其提供支持,帮助系统设计人员开发完整的芯片系统(SoC)解决方案。
2018-10-27 08:17:003483

Virtex-7 GTH收发器与Altera Stratix-V GX器件的介绍

Virtex-7 GTH收发器与Altera Stratix-V GX器件Battle Kits Demo
2018-11-30 06:31:002787

Altera Stratix IV GT 100G开发方案

关键词:ALTERA , Stratix IV GT 100G Altera公司的Stratix IV 40nm FPGA包括Stratix IV E, Stratix IV GX和Stratix
2019-02-16 09:51:01495

AlteraStratix® V FPGA是业界唯一能提供14.1 Gbps收发器带宽的FPGA

Altera公司日前宣布,开始批量发售FPGA业界性能最好、具有背板功能的收发器。AlteraStratix® V FPGA是业界唯一能够提供14.1 Gbps收发器带宽的FPGA,也是唯一
2019-05-27 10:33:261467

Altera推出面向Stratix® IV FPGA的最新开发套件

Altera公司推出其面向Stratix® IV FPGA的最新开发套件。Stratix IV E FPGA 开发套件具有业界最高密度、最高性能的 FPGA。该套件为用户提供了全面的设计环境,其中包括迅速开始其高密度原型产品设计所需的硬件和软件。
2020-08-30 08:19:01826

Altera公司将FPGA高端密度范围增大到820K逻辑单元

Altera公司 宣布,40-nm Stratix® IV E FPGA高端密度范围增大到业界领先的820K逻辑单元(LE)。Stratix IV EP4SE820 FPGA是业界同类产品中密度最大
2020-08-30 08:22:00850

基于Altera Stratix-V FPGA的收发器

SFP + SR,LR,LRM和Altera Stratix-V FPGA的收发器。 目的 本报告演示了Avago 10Gbs以太网SFP +收发器产品,AFBR-709SMZ(SFP + SR
2021-04-13 15:13:303107

Altera Stratix V FPGA的电源解决方案

Altera Stratix V FPGA的电源解决方案
2021-04-29 09:41:475

具有LVDS输出的LTM9011 ADC的AN147-Altera Stratix IV FPGA接口

具有LVDS输出的LTM9011 ADC的AN147-Altera Stratix IV FPGA接口
2021-05-09 21:19:5314

wp02-将凌力尔特公司的DDR LVDS ADC与Altera Stratix IV FPGA接口

wp02-将凌力尔特公司的DDR LVDS ADC与Altera Stratix IV FPGA接口
2021-05-23 11:13:281

Altera Stratix IV接口的WP02-VHDL

Altera Stratix IV接口的WP02-VHDL
2021-06-07 14:41:227

旋风IV装置EP4CE6E22I7N数据表

旋风IV装置EP4CE6E22I7N数据表
2021-06-26 14:46:279

FPGA通用板Altera EP4CE10开源

电子发烧友网站提供《FPGA通用板Altera EP4CE10开源.zip》资料免费下载
2022-08-09 09:29:3832

已全部加载完成