电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>制造/封装>电子技术>38译码器真值表讲解

38译码器真值表讲解

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

显示译码器

数字显示电路显示出便于人们观测、查看的十进制数字。显示译码器主要由译码器和驱动器两部分组成,通常这二者都集成在一块芯片中。
2011-11-16 14:40:125479

138译码器怎么用

138译码器的设置目的是为了实现IO复用,单片机上IO资源紧张,挂载的外设较多,为了解决这一矛盾,引入了138译码器单个138译码器能够利用3个IO实现8路选择(在逻辑上相当于扩展了5个IO),比赛
2022-01-12 07:25:11

138译码器的运用

看完74hl138译码器的技术文档,就编了这个。很好用的芯片。{:soso_e130:}
2012-08-19 00:54:06

3 -8译码器

3 -8译码器 不知怎么仿真波形弄不出来,主要是输出引脚的波形有问题,希望大家能给以指导,感谢大家!!!
2014-06-12 21:41:50

3-8译码器

3-8译码器希望大家能够指导指导一下!出问题的地方我已用红色箭头表明,感谢大家!!!
2014-06-12 22:33:56

74HC138译码器的工作原理是什么

的是74HC138,也叫38译码器。2. 工作原理38译码器,从名字来分析就是把3种输入状态翻译成8种输出状态。对于数字器件的引脚,如果一个引脚输入的时候,有 0 和 1 两种状态;对于两个引脚输入的时候,就会
2021-11-25 09:27:16

74LS00芯片资料及真值表

74LS00芯片资料及真值表
2012-12-07 13:03:28

74LS138译码器的扩展方法是什么

74LS138译码器是什么?74LS138译码器的扩展方法是什么?
2022-01-19 07:14:36

译码器

第一次发帖,自己仿真的一个译码器,谢谢大家!
2016-03-22 13:34:35

译码器及其应用实验

译码器及其应用实验
2017-03-21 13:36:44

译码器和usp的连接方式

那位大大能教一下bcd译码器和usp模块的连接方式,需要什么过度吗?
2012-07-15 01:06:12

译码器定义

译码器1. 译码器定义译码器是一种用以检测输入位(码)的特定组合是否存在,并以特定的输出电平来指示这种特定码的存在的数字电路。——《数字电子技术基础系统方法》译码器的功能是将具有特定含义的二进制码
2021-12-07 09:37:27

译码器的资料

这是译码器的一些资料。
2014-07-13 11:59:08

FPGA基础学习笔记--组合逻辑电路-编码译码器

) ); endmoduleRTL级仿真波形如下优先编码真值表如下,参考艾米电子代码如下module encoder (input [3:0] iA,output reg [1:0] oQ ); always
2012-02-20 15:36:48

LED译码器

。TTL、CMOS又没有现成译码器可用。故而用二极管搭建此特殊译码器,简单、可靠低成本与现有系统亲和度高。我的高一级的产品显示部分用的是人机界面。
2016-11-17 09:40:39

LUT真值表在实施期间发生了变化

你好我使用了VIVADO 2016.1,但我遇到了一个问题。我找到一些关键的真值表& nbsp;在实现后,LUT被更改,因此导致我不希望的逻辑错误。我怎样才能避免这种情况发生?有什么办法可以
2018-11-01 16:13:23

LUT与真值表有何关系

LUT与真值表有何关系?FPGA是如何通过两个相同输入的LUT5和一个MUX组成LUT6的?
2021-11-02 06:12:32

TTL和38译码器接线

本帖最后由 gk320830 于 2015-3-5 19:27 编辑 我想把TTL的输出和74HC138译码器的输入端接起来,不知道具体的接线方式应该是什么样子的,求大神指导一下线路应该怎么连接
2014-04-03 15:19:43

Xilinx FPGA入门连载20:3-8译码器实验

`Xilinx FPGA入门连载20:3-8译码器实验特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1 功能简介所有3-8译码器,大家
2015-11-02 13:17:03

【小白入门笔记】 小脚丫实现38译码器——模块建模和门级建模初探

verilog HDL建模分三种方式:1、模块建模2、门级建模3、开关建模这里用38译码器感受下1、2的区别:开发平台:STEP-MXO2-C在官网,我找到了38译码器的模块级建模代码:module
2017-09-16 21:35:32

【锆石A4 FPGA试用体验】数电学习(二)3-8译码器

用的很多的一个芯片就是SN74LV138了,可以查看这个芯片的手册,其中真值表是最重要的了。逻辑图为:由此,我们要在FPGA上所实现的3-8译码器与这个芯片的功能是一样的,只是没有多余的使用引脚输入
2016-09-14 21:44:39

三八译码器的应用

芯片,这种数字芯片由简单的输入逻辑来控制输出逻辑,比如 74HC138这个三八译码器,图 3-15 是 74HC138 在我们原理图上的一个应用。从这个名字来分析,三八译码器,就是把 3 种输入状态...
2021-07-19 09:08:52

什么是3-8译码器

什么是3-8译码器,急啊,速求
2013-03-26 16:52:38

译码器可作什么使用?

译码器的使能端看做输入端、译码器的输入端看做地址端,则全译码器可作什么使用
2015-05-18 11:41:06

关于138译码器位运算简化代码的思路分享

关于138译码器位运算简化代码的思路分享
2022-02-25 07:43:15

关于多位输入真值表的问题

在复杂的数字电路中,用真值表的方法化简逻辑表达式很复杂,很麻烦,请问诸位高手有没有简单的方法化简逻辑表达式啊?小弟在这里不胜感激
2013-07-11 15:20:53

关于数码管的两种使用方式

标段选和位选的接口信息,这时候就要用到138译码器了。138译码器原理图初识单片机的小白肯定对原理图不清楚,以我对原理图的理解就是138译码器是通过控制A,B,C三个端口传递不同的信号来对Y0-Y7进行不同的信号控制,而Y0-Y7恰恰对应的是数码管的位选值,控制哪一个数码管亮和灭。数码管真值表真值表上H
2022-02-23 06:59:52

勇敢的芯伴你玩转Altera FPGA连载57:3-8译码器实验

可以baidu或bing一下。相信学习FPGA的读者一定都上过数字电路的课程,所以这里对3-8译码器功能的实现给个真值表,如表8.1所示,一目了然。8.1 3-8译码器真值表[tr
2018-03-26 16:13:33

基于FPGA的Viterbi译码器该怎样去设计?

译码器有哪些功能?Viterbi译码器是由哪几部分组成的?
2021-05-07 07:28:33

基于FPGA的汉明码译码器如何对码元数据添加噪声干扰?

的?还有这篇文章是2010年发的了,如今汉明码译码器的FPGA实现是否有更好的实现方法呢?有大神可以给我提供一个思路吗?or2万分感谢
2020-02-26 23:29:41

基于IP核的Viterbi译码器实现

【摘要】:Viterbi译码器在通信系统中应用非常普遍,针对采用DSP只能进行相对较低速率的Vit-erbi译码的问题,人们开始采用FPGA实现高速率Viterbi译码。本文首先简单描述了
2010-04-26 16:08:39

多种方式自制CPU 译码器

在DIY的时候,有多元的选择是最好的。不同品种,不同的厂家,可必免断供,不同的型号可避免涨价打消制作的想法。在CPU或MCU中译码器至关重要,多位译码器可使用74138多片联级,4位译码器可选
2022-10-02 16:40:44

如何准确设计出符合功能要求的显示译码器

显示译码器是什么?如何准确设计出符合功能要求的显示译码器
2021-06-01 06:58:12

如何利用译码器进行组合逻辑电路的设计呢

集成电路编码译码器的工作原理即逻辑功能是什么?如何利用逻辑门去实现一种集成电路编码呢?如何利用译码器进行组合逻辑电路的设计呢?
2021-11-03 06:55:24

帮忙看下,我仿真出来的怎么和真值表不一样啊,是不是.....

帮忙看看啊,是不是哪里出问题啊,和真值表完全不一样啊
2013-10-08 12:10:43

常说的38译码器有哪些

、74HC595、APM495374HC138也就是我们常说的38译码器,原理图如下:通过对ABC三位二进制的译码,在Y0-Y7中对应的端口输出,低电平有效。其中E1#、E2#和E3为使...
2021-12-06 07:19:08

怎么利用QuartuesII查元件真值表

最近学这款软件,教材上说,打开帮助文件macrofunction,选messages项,继而选Macrofunction项和old_style macrofunction项,最后选Decoder中的74138,即可见到其真值表。哪位大神帮帮忙吧,最好截个图,非常感谢
2012-11-15 20:15:04

怎么利用QuartusII查元件真值表

本帖最后由 gk320830 于 2015-3-8 20:32 编辑 QuartusII 7.2版本,想知道真值表,比如74ls138,7ls39
2012-12-20 13:53:48

怎么实现BCH译码器的FPGA硬件设计?

本文通过对长BCH码优化方法的研究与讨论,针对标准中二进制BCH码的特性,设计了实现该译码器的FPGA硬件结构。
2021-06-15 09:23:27

怎么实现RS编译码器的设计?

本文研究了RS码的实现方法,并基于Xilinx的FPGA芯片Spartan-6 XC6SLX45完成了RS编译码器的设计,同时对其进行了仿真和在线调试,并给出了功能仿真图和测试结果。时序仿真结果表明,该编译码器能实现预期功能。
2021-06-21 06:23:53

求multisim数码显示译码器仿真!!!!译码器是CC4511

求multisim数码显示译码器仿真!!!!译码器是CC4511。。。。。我的调不太通,希望看看大神做的成品,参考一下!!!!,很急!
2015-12-21 21:13:26

求一种在FPGA中使用行为描述语句实现3-8译码器的设计方案

真值表可以写出3.1 3-8译码器真值表功能模块图与输入输出引脚说明译码器工程包含顶层模块decode38与底层模块decode_module,图3.1是整个工程的模块功能图。下面介绍一下顶层模块各引脚的功能:原作者:语雀
2022-07-01 15:26:26

求常用单片机的功能、引脚图、真值表

各位大神:本人菜鸟一枚,刚开始接触单片机。想请问大神们,求常用单片机的功能、引脚图、真值表。请发邮箱中164810174@qq.com 谢谢!
2013-04-25 18:18:35

用2-4译码器连接为3-8译码器

我先写了一个2-4译码器 通过testbench确定2-4译码器写的没有错误 但是将2-4译码器连接成3-8译码器的时候出现错误Error (10663): Verilog HDL Port
2020-08-23 20:36:24

用AT89C51和38译码器做一个00-59的秒表

用AT89C51和38译码器做一个00-59的秒表
2017-04-13 14:04:36

第二章 组合逻辑电路之译码器

与编码相反。译码器一般分为通用译码器和数字显示译码器两大类。本节设计的是通用译码器,数字显示译码器会在后面数码管章节中涉及。以三八译码器为例,即将3种输入翻译成8种输出状态,其真值表如表3-1所示,其中
2016-12-20 18:54:10

第二章_组合逻辑电路之译码器

三八译码器为例,即将3种输入翻译成8种输出状态,其真值表如表3-1所示,其中ABC为数据输入,OUT为输出数据。3-1 3-8译码器真值表实验步骤:按照02章所讲,建立工程子文件夹后,新建一个以名为
2016-12-20 18:45:04

编码译码器(数电实验报告)精选资料分享

编码译码器一、 实验目的掌握用逻辑门实现编码的方法掌握中规模集成电路编码译码器的工作原理即逻辑功能掌握 74LS138 用作数据分配器的方法熟悉编码译码器的级联方法能够利用译码器进行
2021-07-30 07:41:16

视频编码译码器的主要特性是什么?

本文介绍了视频编码译码器主要特性。
2021-06-02 06:39:47

设计一个虚拟3-8译码器,实现138译码器的功能

设计一个虚拟3-8译码器,实现138译码器的功能
2012-05-15 15:16:39

设计一个虚拟3-8译码器,实现138译码器的功能

设计一个虚拟3-8译码器,实现138译码器的功能!急急急{:soso_e183:}
2012-05-15 15:12:44

请问有4 16译码器吗?

求助一个4 16译码器,要求只出一个高电平其余低电平。不要告诉我加反相,我也不想用CC4514,还有没有别的芯片了。
2019-06-24 00:36:28

编码器与译码器

  第4章   编码器与译码器
2007-12-20 23:14:1857

译码器课件ppt

19.4  译码器译码器的分类         1. 译码器 —输入为非十进制编码,   输出为十进制编码;2. 编码器 —输入为十进制编码,   输
2008-09-27 13:04:230

译码器和数据选择器

实验四  译码器和数据选择器一、 实验目的熟悉集成译码器、数据选择器,了解其应用二、 实验器材双踪示波器74LS139  2-4线译码器    &nb
2009-03-20 17:57:0837

译码器

译码器 译码是编码的逆过程,即将某个二进制翻译成电路的某种状态。实现译码操作的电路称为译码器
2008-09-27 12:59:0612537

数码译码器的应用

数码译码器的应用:译码器课件ppt
2008-12-17 14:31:201056

显示译码器的应用

显示译码器的应用:
2008-12-17 14:35:061260

第十七讲 译码器

第十七讲 译码器 6.4.1 二进制译码器一、二进制译码器 二、译码器CT74LS1381.逻辑图。2.真值表。3.逻辑功能:4.
2009-03-30 16:22:267525

十六种字符译码器

十六种字符译码器
2009-04-10 10:11:01633

译码器,译码器是什么意思

译码器,译码器是什么意思 译码器是组合逻辑电路的一个重要的器件,其可以分为:变量译码和显示译码两类。  变量译码
2010-03-08 16:32:185304

74HCl38译码器在数字电路设计中的应用

译码器在数字系统中除了常为其它集成电路产生片选信号之外,还可以作为数据分配器、函数发生器用。以TTL系列中规模芯片3/8线译码器74HCl38为例介绍了译码器在电路设计中的应
2010-06-28 09:19:2826275

短帧Turbo译码器的FPGA实现

  Turbo码虽然具有优异的译码性能,但是由于其译码复杂度高,译码延时大等问题,严重制约了Turbo码在高速通信系统中的应用。因此,如何设计一个简单有效的译码器是目前Turb
2010-11-25 10:10:261772

显示译码器作用/类型

译码器的功能是将一种数码变换成另一种数码。译码器的输出状态是其输入变量各种组合的结果。译码器的输出既可以用于驱动或控制系统其他部分。
2011-11-16 14:32:386505

74译码器数据表

本软件内容为 电子工程师DIY:LED立方 中用到的74译码器的相关资料:74译码器数据表
2012-06-25 12:00:3199

基于FPGA的RS码译码器的设计

介绍了符合CCSDS标准的RS(255,223)码译码器的硬件实现结构。译码器采用8位并行时域译码算法,主要包括了修正后的无逆BM迭代译码算法,钱搜索算法和Forney算法。采用了三级流水线结构实现
2013-01-25 16:43:4668

动态显示-译码器片选实现【汇编版】

动态显示-译码器片选实现【汇编版】动态显示-译码器片选实现【汇编版】动态显示-译码器片选实现【汇编版】
2015-12-29 15:51:290

动态显示-译码器片选实现【C语言】

动态显示-译码器片选实现【C语言】动态显示-译码器片选实现【C语言】动态显示-译码器片选实现【C语言】动态显示-译码器片选实现【C语言】
2015-12-29 15:51:360

译码器及其应用实验

译码器及其应用实验
2016-12-29 19:01:450

3-8 译码器 控制

38译码器控制LED灯每次亮一个
2017-04-21 10:52:3816

74ls48内部电路,74ls48译码器真值表

74LS48芯片是一种常用的七段数码管译码器驱动器,常用在各种数字电路和单片机系统的显示系统中,下面我就给大家介绍一下这个元件的一些参数与应用技术等资料。 74LS48除了有实现7段显示译码器
2017-10-19 17:13:5240837

基于RS译码器设计和仿真

为了解决在RS译码中存在的译码过程复杂、译码速度慢和专用译码器价格高等问题,以RS(255,239)码为例,采用了基于改进的无求逆运算的Berlekamp-Massey( BM)迭代算法。结合FP
2017-11-07 15:27:0615

译码器如何实现扩展

通过正确配置译码器的使能输入端,可以将译码器的位数进行扩展。例如,实验室现在只有3线- 8线译码器(如74138),要求我{ ]实现一个4线-16线的译码器。该如何设计呢?图1是其中的一种解决方案
2017-11-23 08:44:5333057

4线16线译码器真值表详情解析

在输入端输入D3、D2、D1、D0相对应的二进制编码(0/1),通过译码器“翻译”得出输出端的结果 Y0-Y15,如:当D3、D2、D1、D0分别为1010时,输出端为Y10(10)。4LS138仅有3个地址输入端。如果想对4位二进制代码,只能利用一个附加控制端(当中的一个)作为第四个地址输入端
2017-11-23 09:08:05210727

双2-4译码器74LS139真值表详情解析

74LS139为两个2线-4 线译码器,共有 54/74S139和 54/74LS139 两种线路结构型式。可对2位高位地址进行译码,产生4个片选信号,最多可外接4个芯片。
2017-11-23 17:05:02124029

译码器的逻辑功能_译码器的作用及工作原理

本文首先介绍了译码器的定义与译码器的分类,其次介绍了译码器的作用和译码器的工作原理,最后介绍了译码器的逻辑功能。
2018-02-08 14:04:06107557

译码器的分类和应用

本文主要介绍了译码器的分类和应用。译码器指的是具有译码功能的逻辑电路,译码是编码的逆过程,它能将二进制代码翻译成代表某一特定含义的信号(即电路的某种状态),以表示其原来的含义。译码器可以分为:变量
2018-04-04 11:51:1237754

38译码器真值表以及功能与原理

38译码器也就是三线八线译码器,那么38译码器真值表以及功能与原理是什么呢,下面小编就为大家来带38译码器真值表以及功能与原理。 三线八线译码器的三线是指三位二进制数字,其会组成000到111共八个
2021-07-08 15:55:54102017

使用38译码器扩展单片机接口

的是74HC138,也叫38译码器。2. 工作原理38译码器,从名字来分析就是把3种输入状态翻译成8种输出状态。对于数字器件的引脚,如果一个引脚输入的时候,有 0 和 1 两种状态;对于两个引脚输入的时候,就会有 00、01、10、11 这四种状态了,那么对于 3 个输入的时候,就会
2021-11-17 10:36:0211

38译码器文件资料

38译码器文件资料
2022-06-06 14:23:074

常见译码器工作原理介绍

译码的逻辑电路成为译码器译码器输出与输入代码有唯一的对应关系 74LS47 是输出低电平有效的七段字形译码器,它在这里与数码管配合使用,列出了74LS47的真值表,表示出了它与数码管之间的关系。
2023-04-26 15:39:404078

二进制译码器和二-十进制译码器介绍

输入:二进制代码,有n个; 输出:2^n 个特定信息。 1.译码器电路结构 以2线— 4线译码器为例说明 2线— 4线译码器真值表为:
2023-04-30 16:29:002335

已全部加载完成